A study of double exposure process design with balanced performance parameters for line/space applications [6520-90] (Englisch)
- Neue Suche nach: Zhu, J.
- Neue Suche nach: Wu, P.
- Neue Suche nach: Wu, Q.
- Neue Suche nach: Ding, H.
- Neue Suche nach: Li, X.
- Neue Suche nach: Sun, C.
- Neue Suche nach: Society of Photo-optical Instrumentation Engineers
- Neue Suche nach: SEMATECH, Inc
- Neue Suche nach: Zhu, J.
- Neue Suche nach: Wu, P.
- Neue Suche nach: Wu, Q.
- Neue Suche nach: Ding, H.
- Neue Suche nach: Li, X.
- Neue Suche nach: Sun, C.
- Neue Suche nach: Flagello, Donis G.
- Neue Suche nach: Society of Photo-optical Instrumentation Engineers
- Neue Suche nach: SEMATECH, Inc
In:
Optical microlithography
;
65202H
;
2007
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Print
-
Titel:A study of double exposure process design with balanced performance parameters for line/space applications [6520-90]
-
Beteiligte:Zhu, J. ( Autor:in ) / Wu, P. ( Autor:in ) / Wu, Q. ( Autor:in ) / Ding, H. ( Autor:in ) / Li, X. ( Autor:in ) / Sun, C. ( Autor:in ) / Flagello, Donis G. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc
-
Kongress:Technical conference; 20th, Optical microlithography ; 2007 ; San Jose, CA
-
Erschienen in:Optical microlithography ; 65202H
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsort:Bellingham, Wash.
-
Erscheinungsdatum:01.01.2007
-
Format / Umfang:65202H
-
Anmerkungen:Includes bibliographical references and author index.
-
ISBN:
-
ISSN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 65200A
-
Snell or Fresnel: the influence of material index on hyper-NA lithographySmith, Bruce / Zhou, Jianming et al. | 2007
- 65200B
-
Hyper NA polarized imaging of 45nm DRAMLim, Chang-Moon / Park, Sarohan / Hyun, Yoon-Suk / Kim, Jin-Soo / Eom, Tae-Seung / Park, Jun-Taek / Moon, Seung-Chan / Kim, Jin-Woong et al. | 2007
- 65200C
-
Pushing the boundary: low-k1 extension by polarized illuminationvan Setten, Eelco / de Boeij, Wim / Hepp, Birgitt / le Masson, Nicolas / Swinkels, Geert / van de Kerkhof, Mark et al. | 2007
- 65200D
-
Modeling polarization for hyper-NA lithography tools and masksLai, Kafai / Rosenbluth, Alan E. / Han, Geng / Tirapu-Azpiroz, Jaione / Meiring, Jason / Goehnermeier, Aksel / Kneer, Bernhard / Totzeck, Michael / de Winter, Laurens / de Boeij, Wim et al. | 2007
- 65200E
-
Polarization-dependent proximity effectsTyminski, Jacek K. / Matsuyama, Tomoyuki / Nakashima, Toshiharu / Schmoeller, Thomas / Lewellen, John et al. | 2007
- 65200F
-
The impact of projection lens polarization properties on lithographic process at hyper-NAGeh, Bernd / Ruoff, Johannes / Zimmermann, Jörg / Gräupner, Paul / Totzeck, Michael / Mengel, Markus / Hempelmann, Uwe / Schmitt-Weaver, Emil et al. | 2007
- 65200G
-
Pitch doubling through dual-patterning lithography challenges in integration and litho budgetsDusa, Mircea / Quaedackers, John / Larsen, Olaf F. A. / Meessen, Jeroen / van der Heijden, Eddy / Dicker, Gerald / Wismans, Onno / de Haas, Paul / van Ingen Schenau, Koen / Finders, Jo et al. | 2007
- 65200H
-
Issues and challenges of double patterning lithography in DRAMKim, Seo-Min / Koo, Sun-Young / Choi, Jae-Seung / Hwang, Young-Sun / Park, Jung-Woo / Kang, Eung-Kil / Lim, Chang-Moon / Moon, Seung-Chan / Kim, Jin-Woong et al. | 2007
- 65200I
-
Manufacturability issues with double patterning for 50-nm half-pitch single damascene applications using RELACS shrink and corresponding OPCOp de Beeck, Maaike / Versluijs, Janko / Wiaux, Vincent / Vandeweyer, Tom / Ciofi, Ivan / Struyf, Herbert / Hendrickx, Dirk / Van Olmen, Jan et al. | 2007
- 65200J
-
The modeling of double patterning lithographic processesRobertson, Stewart A. / Graves, Trey / Smith, Mark D. / Biafore, John J. et al. | 2007
- 65200K
-
Dark Field Double Dipole Lithography (DDL) for back-end-of-line processesBurkhardt, M. / Burns, Sean / Dunn, Derren / Brunner, T. A. / Hsu, Stephen D. / Park, Jungchul et al. | 2007
- 65200L
-
Evaluating the performance of a 193-nm hyper-NA immersion scanner using scatterometryKritsun, Oleg / La Fontaine, Bruno / Sandberg, Richard / Acheta, Alden / Levinson, Harry J. / Lensing, Kevin / Dusa, Mircea / Hauschild, Jan / Pici, Anita / Saravanan, Chandra et al. | 2007
- 65200M
-
Distinguishing dose, focus, and blur for lithography characterization and controlAusschnitt, Christopher P. / Brunner, Timothy A. et al. | 2007
- 65200N
-
Patterning control budgets for the 32-nm generation incorporating lithography, design, and RET variationsLucas, Kevin / Cork, Chris / Cobb, Jonathan / Ward, Brian / Drapeau, Martin / Zhang, Charlie / Allgair, John / Kling, Mike / Rieger, Mike et al. | 2007
- 65200O
-
Control of polarization and apodization with film materials on photomasks and pellicles for high NA imaging performanceCheng, Wen-Hao / Farnsworth, Jeff et al. | 2007
- 65200P
-
Global optimization of masks, including film stack design to restore TM contrast in high NA TCC'sRosenbluth, Alan E. / Melville, David / Tian, Kehan / Lai, Kafai / Seong, Nakgeuon / Pfeiffer, Dirk / Colburn, Matthew et al. | 2007
- 65200Q
-
A solution for exposure tool optimization at the 65-nm node and beyondItai, Daisuke et al. | 2007
- 65200R
-
Fast and accurate 3D mask model for full-chip OPC and verificationLiu, Peng / Cao, Yu / Chen, Luoqi / Chen, Guangqing / Feng, Mu / Jiang, Jiong / Liu, Hua-yu / Suh, Sungsoo / Lee, Sung-Woo / Lee, Sukjoo et al. | 2007
- 65200S
-
Process window and interlayer aware OPC for the 32-nm nodeTerry, Mark / Zhang, Gary / Lu, George / Chang, Simon / Aton, Tom / Soper, Robert / Mason, Mark / Best, Shane / Dostalik, Bill / Hunsche, Stefan et al. | 2007
- 65200T
-
OPC in memory-device patterns using boundary layer model for 3-dimensional mask topographic effectKim, Young-Chang / Kim, Insung / Park, JeongGeun / Kim, Sangwook / Suh, Sungsoo / Cheon, Yongjin / Lee, Sukjoo / Lee, Junghyeon / Kang, Chang-Jin / Moon, Jootae et al. | 2007
- 65200U
-
Generalized inverse lithography methods for phase-shifting mask designMa, Xu / Arce, Gonzalo R. et al. | 2007
- 65200V
-
Visualizing the impact of the illumination distribution upon imaging and applying the insights gainedSlonaker, Steve D. et al. | 2007
- 65200X
-
Sources and scaling laws for LER and LWRSandstrom, Tor / Rydberg, Christer et al. | 2007
- 65200Y
-
Polarization aberration analysis using Pauli-Zernike representationYamamoto, Norihiro / Kye, Jongwook / Levinson, Harry J. et al. | 2007
- 65200Z
-
Best focus determination: bridging the gap between optical and physical topographyKahlenberg, Frank / Seltmann, Rolf / La Fontaine, Bruno M. / Wirtz, René / Kisteman, Aernout / Vanneer, Roel N. M. / Pieters, Marco et al. | 2007
- 65201A
-
Phase-shifted assist feature OPC for sub-45-nm node optical lithographyYoon, Gi-Sung / Kim, Hee-Bom / Lee, Jeung-Woo / Choi, Seong-Woon / Han, Woo-Sung et al. | 2007
- 65201B
-
The random contact hole solutions for future technology nodesChen, Alek / Hansen, Steve / Moers, Marco / Shieh, Jason / Engelen, Andre / van Ingen Schenau, Koen / Tseng, Shih-en et al. | 2007
- 65201C
-
Patterning with amorphous carbon spacer for expanding the resolution limit of current lithography toolJung, Woo-Yung / Kim, Sang-Min / Kim, Choi-Dong / Sim, Guee-Hwang / Jeon, Sung-Min / Park, Sang-Wook / Lee, Byung-Seok / Park, Sung-Ki / Kim, Ji-Soo / Heon, Lee-Sang et al. | 2007
- 65201D
-
32-nm SOC printing with double patterning, regular design, and 1.2 NA immersion scannerTrouiller, Yorick / Farys, Vincent / Borjon, Amandine / Belledent, Jérôme / Couderc, Christophe / Sundermann, Frank / Urbani, Jean-Christophe / Rody, Yves / Gardin, Christian / Planchot, Jonathan et al. | 2007
- 65201E
-
Ultra-lowk1oxide contact hole formation and metal filling using resist contact hole pattern by double L&S formation methodNakamura, Hiroko / Omura, Mitsuhiro / Yamashita, Souichi / Taniguchi, Yasuyuki / Abe, Junko / Tanaka, Satoshi / Inoue, Soichi et al. | 2007
- 65201F
-
RET application in 45-nm node and 32-nm node contact hole dry ArF lithography process developmentMiao, Xiangqun / Xu, Xumou / Chen, Yongmei / Ordonio, Chris / Bencher, Chris / Ngai, Chris et al. | 2007
- 65201F
-
RET application in 45-nm node and 32-nm node contact hole dry ArF lithography process development [6520-47]Miao, X. / Xu, X. / Chen, Y. / Ordonio, C. / Bencher, C. / Ngai, C. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 65201H
-
Pupil plane analysis on AIMS 45-193i for advanced photomasksMorikawa, Yasutaka / Sutou, Takanori / Mesuda, Kei / Nagai, Takaharu / Inazuki, Yuichi / Adachi, Takashi / Toyama, Nobuhito / Mohri, Hiroshi / Hayashi, Naoya / Stroessner, Ulrich et al. | 2007
- 65201I
-
The impact of the mask stack and its optical parameters on the imaging performanceErdmann, Andreas / Fühner, Tim / Seifert, Sebastian / Popp, Stephan / Evanschitzky, Peter et al. | 2007
- 65201J
-
Mask 3D effect on 45-nm imaging using attenuated PSMSato, Kazuya / Itoh, Masamitsu / Sato, Takashi et al. | 2007
- 65201K
-
Effects of reticle birefringence on 193-nm lithographyLight, Scott / Tsyba, Irina / Petz, Christopher / Baluswamy, Pary / Rolfson, Brett et al. | 2007
- 65201L
-
Early look into device level imaging with beyond water immersionConley, Will / Warrick, Scott / Garza, Cesar / Goirand, Pierre-Jerome / Gemmink, Jan-Willem / Van Steenwinckel, David et al. | 2007
- 65201M
-
Extending immersion lithography with high-index materials: results of a feasibility studySewell, Harry / Mulkens, Jan / Graeupner, Paul / McCafferty, Diane / Markoya, Louis / Donders, Sjoerd / Samarakone, Nandasiri / Duesing, Rudiger et al. | 2007
- 65201O
-
High-index immersion lithography with second-generation immersion fluids to enable numerical aperatures of 1.55 for cost effective 32-nm half pitchesFrench, R. H. / Liberman, V. / Tran, H. V. / Feldman, J. / Adelman, D. J. / Wheland, R. C. / Qiu, W. / McLain, S. J. / Nagao, O. / Kaku, M. et al. | 2007
- 65201P
-
High-index fluoride materials for 193-nm immersion lithographyNawata, Teruhiko / Inui, Yoji / Masada, Isao / Nishijima, Eiichi / Mabuchi, Toshiro / Mochizuki, Naoto / Satoh, Hiroki / Fukuda, Tsuguo et al. | 2007
- 65201Q
-
Feasibility of 37-nm half-pitch with ArF high-index immersion lithographySekine, Yoshiyuki / Kawashima, Miyoko / Sakamoto, Eiji / Sakai, Keita / Yamada, Akihiro / Honda, Tokuyuki et al. | 2007
- 65201R
-
Application of full-chip optical proximity correction for sub-60-nm memory device in polarized illuminationYune, Hyoung-Soon / Ahn, Yeong-Bae / Lee, Dong-jin / Moon, James / Nam, Byung-Ho / Yim, Dong-gyu et al. | 2007
- 65201S
-
Utilization of optical proximity effects for resist image stitchingFan, Yongfa / Castro, Tom et al. | 2007
- 65201T
-
Methods for comparative extraction of OPC responseZavecz, Terrence E. et al. | 2007
- 65201U
-
ACLV driven double-patterning decomposition with extensively added printing assist features (PrAFs)Meiring, Jason E. / Haffner, Henning / Fonseca, Carlos / Halle, Scott D. / Mansfield, Scott M. et al. | 2007
- 65201V
-
A discussion of the regression of physical parameters for photolithographic process modelsMelvin, Lawrence S. / Lucas, Kevin D. et al. | 2007
- 65201W
-
Latest results from the hyper-NA immersion scanners S609B and S610CIshikawa, Jun / Fujiwara, Tomoharu / Shiraishi, Kenichi / Ishii, Yuuki / Nei, Masahiro et al. | 2007
- 65201X
-
Immersion exposure tool for 45-nm HP mass productionKubo, Hiroaki / Hata, Hideo / Sakai, Fumio / Deguchi, Nobuyoshi / Iwanaga, Takehiko / Ebihara, Takeaki et al. | 2007
- 65201Y
-
Performance of a 1.35NA ArF immersion lithography system for 40-nm applicationsde Klerk, Jos / Wagner, Christian / Droste, Richard / Levasier, Leon / Jorritsma, Louis / van Setten, Eelco / Kattouw, Hans / Jacobs, Jowan / Heil, Tilmann et al. | 2007
- 65201Z
-
Exposure and compositional factors that influence polarization induced birefringence in silica glassAllan, Douglas C. / Mlejnek, Michal / Neukirch, Ulrich / Smith, Charlene M. / Smith, Frances M. et al. | 2007
- 65202A
-
SRAF placement and sizing using inverse lithography technologyLin, Timothy / Robert, Frederic / Borjon, Amandine / Russell, Gordon / Martinelli, Catherine / Moore, Andrew / Rody, Yves et al. | 2007
- 65202B
-
Optimal SRAF placement for process window enhancement in 65-nm/45-nm technologySarma, Chandra / Herold, Klaus / Noelscher, Christoph / Schroeder, Paul et al. | 2007
- 65202C
-
Intensity weighed focus drilling exposure for maximizing process window of sub-100-nm contact by simulationJung, Sunwook / Yang, Tien-Chu / Yang, Ta-Hung / Chen, Kuang-Chao / Lu, Chih-Yuan et al. | 2007
- 65202D
-
Process margin improvement using custom transmission EAPSM reticlesBuntin, J. / Agarwal, S. / Rolfson, B. / Housley, R. / Baggenstoss, B. / Byers, E. / Progler, C. et al. | 2007
- 65202E
-
Verification of high-transmittance PSM with polarization at 193-nm high-NA systemChiu, Chui Fu / Chen, Chih Li / Lee, Jenn Wei / Wu, Wen Bin / Shih, Chiang Lin / Chen, Feng Yi / Lin, Jeng Ping et al. | 2007
- 65202F
-
A litho-only approach to double patterningVanleenhove, A. / Van Steenwinckel, D. et al. | 2007
- 65202H
-
A study of double exposure process design with balanced performance parameters for line/space applicationsZhu, Jun / Wu, Peng / Wu, Qiang / Ding, Hua / Li, Xin / Sun, Changjiang et al. | 2007
- 65202I
-
The improvement of photolithographic fidelity of two-dimensional structures through double exposure methodWenren, Qingqing / Ding, Hua / Li, Xin / Sun, Changjiang / Zhu, Jun / Wu, Qiang et al. | 2007
- 65202J
-
Double patterning with multilayer hard mask shrinkage for sub-0.25 k1 lithographyLiu, Hung Jen / Hsieh, Wei Hsien / Yeh, Chang Ho / Wu, Jan Shiun / Chan, Hung Wei / Wu, Wen Bin / Chen, Feng Yi / Huang, Tse Yao / Shih, Chiang Lin / Lin, Jeng Ping et al. | 2007
- 65202K
-
Sub-k1 = 0.25 lithography with double patterning technique for 45-nm technology node flash memory devices at λ = 193nmCapetti, Gianfranco / Cantù, Pietro / Galassini, Elisa / Vaglio Pret, Alessandro / Turco, Catia / Vaccaro, Alessandro / Rigolli, Pierluigi / D'Angelo, Fabrizio / Cotti, Gina et al. | 2007
- 65202L
-
Quantum state control interference lithography and trim double patterning for 32-16-nm lithographyFrankel, Robert D. / Smith, Bruce W. / Estroff, Andrew et al. | 2007
- 65202M
-
Double exposure using 193nm negative tone photoresistKim, Ryoung-han / Wallow, Tom / Kye, Jongwook / Levinson, Harry J. / White, Dave et al. | 2007
- 65202N
-
Feasibility study of splitting pitch technology on 45-nm contact patterning with 0.93 NACheng, Yung Feng / Chou, Yueh Lin / Tseng, Ting Cheng / Hsueh, Bo Yun / Yang, Chuen Huei et al. | 2007
- 65202O
-
A study of process window capabilities for two-dimensional structures under double exposure conditionWu, Qiang / Wu, Peng / Zhu, Jun / Ding, Hua / Li, Xin / Sun, Changjiang / Peng, Chaoqun et al. | 2007
- 65202P
-
New double exposure technique without alternating phase-shift maskYamamoto, Tomohiko / Yao, Teruyoshi / Futatsuya, Hiroki / Chijimatsu, Tatsuo / Asai, Satoru et al. | 2007
- 65202Q
-
ILT for double exposure lithography with conventional and novel materialsPoonawala, Amyn / Borodovsky, Yan / Milanfar, Peyman et al. | 2007
- 65202R
-
Development and characterization of a 300-mm dual-side alignment stepperFlack, Warren W. / True, Emily M. / Hsieh, Robert / Fuchs, Detlef / Ellis, Ray et al. | 2007
- 65202T
-
Flare effect of different shape of illumination apertures in 193-nm optical lithography systemYun, Young-Je / Kim, Jeahee / Kim, Keeho et al. | 2007
- 65202U
-
Silicon verification of flare model & application to real chip for long range proximity correctionZhang, Dongqing / Choi, Byoung Il / Mei, Foong Yee / Mulia, Suleni T. / Hsieh, Jung Yu / Word, James / Yudhistira, Yasri et al. | 2007
- 65202V
-
Thermal aberration control for low-k1 lithographyUehara, Yusaku / Matsuyama, Tomoyuki / Nakashima, Toshiharu / Ohmura, Yasuhiro / Ogata, Taro / Suzuki, Kosuke / Tokuda, Noriaki et al. | 2007
- 65202W
-
Quasi-telecentricity: the effects of unbalanced multipole illuminationRenwick, Stephen P. et al. | 2007
- 65202X
-
Novel high-throughput micro-optical beam shapers reduce the complexity of macro-optics in hyper-NA illumination systemsBizjak, T. / Mitra, T. / Aschke, L. et al. | 2007
- 65202X
-
Novel high-throughput micro-optical beam shapers reduce the complexity of macro-optics in hyper-NA illumination systems [6520-107]Bizjak, T. / Mitra, T. / Aschke, L. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 65202Z
-
A solid-state 193-nm laser with high spatial coherence for sub-40-nm interferometric immersion lithographyMerriam, Andrew J. / Bethune, Donald S. / Hoffnagle, John A. / Hinsberg, William D. / Jefferson, C. Michael / Jacob, James J. / Litvin, Timothy et al. | 2007
- 65203A
-
The calibration of process window model for 55-nm nodeWu, Te Hung / Huang, Sheng Yuan / Huang, Chia Wei / Tsai, Pei Ru / Yang, Chuen Huei / Su, Irene Yi-Ju / Falch, Brad et al. | 2007
- 65203B
-
SEM based data extraction for model calibrationAl-Imam, Mohamed / Liao, H. Y. / Schacht, Jochen / Bailey, George E. / Wu, Te Hung / Huang, Chia Wei / Huang, Sheng Yuan / Tsai, Pei Ru / Yang, Chuen Huei et al. | 2007
- 65203C
-
Distributed model calibration using Levenberg-Marquardt algorithmLu, Mark / Zhu, Liang / Ling, Li / Zhang, Gary / Chan, Walter / Zhou, Xin et al. | 2007
- 65203D
-
Analytical approach to high-NA imagesKim, Sang-Kon et al. | 2007
- 65203E
-
Modeling and performance metrics for longitudinal chromatic aberrations, focus-drilling, and Z-noise: exploring excimer laser pulse-spectraSmith, Mark / Bendik, Joseph / Lalovic, Ivan / Farrar, Nigel / Howard, William / Sallee, Chris et al. | 2007
- 65203F
-
Dr.LiTHO: a development and research lithography simulatorFühner, Tim / Schnattinger, Thomas / Ardelean, Gheorghe / Erdmann, Andreas et al. | 2007
- 65203G
-
Lithographic characterization of evanescent wave imaging systemsGraves, Trey / Smith, Mark D. / Robertson, Stewart A. et al. | 2007
- 65203I
-
Heuristics for truncating the number of optical kernels in Hopkins image calculations for model-based OPC treatmentZuniga, Christian / Tejnil, Edita et al. | 2007
- 65203J
-
Topography induced defocus with a scanning exposure systemLiegl, Bernhard R. / Felix, Nelson / Brodsky, Colin / Dobuzinsky, David et al. | 2007
- 65203K
-
Precise measurement of process bias and its relation to MEEFZavecz, Terrence E. et al. | 2007
- 65203L
-
Assessment of trade-off between resist resolution and sensitivity for optimization of hyper-NA immersion lithographyKishikawa, Yasuhiro / Kawashima, Miyoko / Ohkubo, Akinori / Iwasaki, Yuichi / Takeuchi, Seiji / Yoshii, Minoru / Honda, Tokuyuki et al. | 2007
- 65203M
-
Understanding the impact of rigorous mask effects in the presence of empirical process models used in optical proximity correction (OPC)Lam, Michael C. / Adam, Konstantinos et al. | 2007
- 65203N
-
Transistor-based electrical test structures for lithography and process characterizationPoppe, Wojtek J. / Holwill, Juliet / Pang, Liang-Teck / Friedberg, Paul / Liu, Qingguo / Alarcon, Louis / Neureuther, Andrew et al. | 2007
- 65203O
-
Use of starburst patterns in optical lithographyBurkhardt, M. / Tabery, Cyrus et al. | 2007
- 65203P
-
Challenging to meet 1nm iso-dense bias (IDB) by controlling laser spectrumOga, Toshihiro / Yamamoto, Tomohiko / Yao, Teruyoshi / Asai, Satoru / Kudo, Takehito / Toki, Tsuyoshi et al. | 2007
- 65203Q
-
Impact of mask error on OPC for 45-nm nodePark, Oseo et al. | 2007
- 65203R
-
Taking image quality factor into the OPC model tuning flowWang, Ching-Heng / Liu, Qingwei / Zhang, Liguo et al. | 2007
- 65203S
-
Effects of laser bandwidth on iso-dense bias and line end shortening at sub-micron process nodesPeng, R. C. / Yang, A. K. / Chen, L. J. / Guo, Y. W. / Liu, H. H. / Lin, John / Chang, Allen et al. | 2007
- 65203T
-
On the quality of measured optical aberration coefficients using phase wheel monitorZavyalova, Lena V. / Robinson, Aaron R. / Bourov, Anatoly / Lafferty, Neal V. / Smith, Bruce W. et al. | 2007
- 65203U
-
A comparative study for mask defect tolerance on phase and transmission for dry and immersion 193-nm lithographyLing, Moh Lung / Chua, Gek Soon / Tay, Cho Jui / Quan, Chenggen / Lin, Qunying et al. | 2007
- 65203V
-
The causes of horizontal-vertical (H-V) bias in optical lithography: dipole source errorsBiafore, John J. / Mack, Chris A. / Robertson, Stewart A. / Smith, Mark D. / Kapasi, Sanjay et al. | 2007
- 65203W
-
OPC-free on-grid fine random hole pattern formation utilizing double resist patterning with double RETsNakao, Shuji / Maejima, Shinroku / Yamamoto, Takeshi / Ono, Yoshiharu / Sakai, Junjiro / Yamaguchi, Atsumi / Imai, Akira / Hanawa, Tetsuro / Sukoh, Kazuyuki et al. | 2007
- 65203X
-
Virtual OPC at hyper NA lithographyLee, Sook / Kim, Sang-Wook / Chun, Yong-Jin / Suh, Sung-Soo / Jang, Yun-Kyeong / Lee, Suk-Joo / Choi, Sung-Woon / Han, Woo-Sung et al. | 2007
- 65203Y
-
Mask-friendly OPC for a reduced mask cost and writing timeYehia, Ayman et al. | 2007
- 65203Z
-
Methods and factors to optimize OPC run-timeDave, A. D. / Babcock, C. P. / McGowan, S. N. / Zou, Y. et al. | 2007
- 65204A
-
Rapid search of the optimum placement of assist feature to improve the aerial image gradient in iso-line structureLi, Jianliang / Yan, Qiliang / Melvin, Lawrence S. et al. | 2007
- 65204C
-
A feasible model-based OPC algorithm using Jacobian matrix of intensity distribution functionsChen, Ye / Wu, Kechih / Shi, Zheng / Yan, Xiaolang et al. | 2007
- 65204D
-
Geometrical description of the microloading effect in silicon trench structuresTitarenko, Iryna / Altshuler, Enna / Tweg, Rama et al. | 2007
- 65204E
-
Investigation of DFM-lite ORC approach during OPC simulationLim, Chin Teong / Peter, Kai / Temchenko, Vlad / Wallis, Dave / Kaiser, Dieter / Meusel, Ingo / Schmidt, Sebastian / Niehoff, Martin et al. | 2007
- 65204F
-
Comparing traditional OPC to field-based OPC for 45-nm node productionFarnbach, Rick / Tuttle, Josh / St. John, Matt / Brown, Randy / Gerold, Dave / Lucas, Kevin / Lugg, Robert / Shiely, James / Rieger, Mike et al. | 2007
- 65204G
-
Studying the 3D mask effect on CD variation for 65nm and beyondHung, Chi-Yuan / Gong, Yue et al. | 2007
- 65204H
-
CDU minimization at the 45-nm node and beyond: optical, resist, and process contributions to CD controlScheer, Steven / Carcasi, Mike / Shibata, Tsuyoshi / Otsuka, Takahisa et al. | 2007
- 65204I
-
ACLV performance dry vs. immersion on 45-nm ground rulesSchroeder, Uwe P. / Yap, Chin-Chin / Sarma, Chandra S. / Thomas, Alan et al. | 2007
- 65204J
-
Feasibility study of 45nm metal patterning with 0.93 NACheng, Yung Feng / Chou, Yueh Lin / Hou, Ya Ching / Lu, Bo Jou / Yang, Chuen Huei et al. | 2007
- 65204K
-
Optimization of DUV lithography for high-energy well implantationDeschner, Ryan / Kim, Seong-Dong / Mann, Randy / Stidham, Mark / Johnson, Greg M. / Rolick, JoAnn et al. | 2007
- 65204L
-
Challenges and solutions for transferring a 248-nm process to 365-nm imagingSerebriakov, Alexander / Chang, Chicheng / Becht, Arthur / Pluijms, Rene / Cheng, Anthony / Shi, Elly / van den Broek, Han / Zhao, Li et al. | 2007
- 65204M
-
New color alignment for CMOS image sensorDagan, Miri Kish / Edart, Remi / Rechtman, Hadas / Kanfi, Yehuda / Warnaar, Patrick / Moshe, Oshri / van Haren, Richard et al. | 2007
- 65204N
-
A thin FinFET Si-fin body structure fabricated with 193-nm scanner photolithography and composite hard mask etching technique upon bulk-Si substrateLiao, Wen-Shiang / Liu, Yu-Huan / Chang, Wen-Tung / Chen, Tung-Hung / Shih, Tommy / Tsen, Huan-Chiu / Chung, Lee et al. | 2007
- 65204O
-
ARC stack development for hyper-NA imagingFarys, Vincent / Warrick, Scott / Chaton, Catherine / Chapon, Jean-Damien et al. | 2007
- 65204P
-
A thick CESL stressed ultra-small (Lg=40-nm) SiGe-channel MOSFET fabricated with 193-nm scanner lithography and TEOS hard mask etchingLiao, Wen-Shiang / Chen, Tung-Hung / Lin, Hsin-Hung / Chang, Wen-Tung / Shih, Tommy / Tsen, Huan-Chiu / Chung, Lee et al. | 2007
- 65204Q
-
Three-dimensional mask effects and source polarization impact on OPC model accuracy and process windowSaied, M. / Foussadier, F. / Belledent, J. / Trouiller, Y. / Schanen, I. / Gardin, C. / Urbani, J. C. / Montgomery, P. K. / Sundermann, F. / Robert, F. et al. | 2007
- 65204R
-
The choice of mask in consideration of polarization effects at high NA systemKim, Sung-Hyuck / Kim, Soon-Ho / Yu, Sang-Yong / Kim, Yong-Hoon / Lee, Jeung-Woo / Cho, Han-Ku et al. | 2007
- 65204S
-
Analysis of diffraction orders including mask topography effects for OPC optimizationInazuki, Yuichi / Toyama, Nobuhito / Adachi, Takashi / Nagai, Takaharu / Suto, Takanori / Morikawa, Yasutaka / Mohri, Hiroshi / Hayashi, Naoya et al. | 2007
- 65204T
-
Immersion lithography with numerical apertures above 2.0 using high index optical materialsZhou, Jianming / Lafferty, Neal V. / Smith, Bruce W. / Burnett, John H. et al. | 2007
- 65204U
-
Immersion defect reduction, part I: analysis of water leaks in an immersion scannerLiang, Fu-Jye / Chang, Hsing / Shiu, Lin-Hung / Chen, Chun-Kuang / Chen, Li-Jui / Gau, Tsai-Sheng / Lin, Burn J. et al. | 2007
- 65204V
-
Defect testing using an immersion exposure system to apply immediate pre-exposure and post-exposure water soaksWatso, Robert D. / Laursen, Thomas / Pierson, Bill / Cummings, Kevin D. et al. | 2007
- 65204W
-
Polarization properties of state-of-art lithography optics represented by first canonical coordinate of Lie groupFujii, Toru / Kudo, Yuji / Ohmura, Yasuhiro / Suzuki, Kosuke / Kogo, Jun / Mizuno, Yasushi / Kita, Naonori / Sawada, Masayasu et al. | 2007
- 65204X
-
Characteristics analysis of polarization module on optical proximity effect [6520-196]Park, C. / Hong, J. / Yang, K. / Theeuwes, T. / Gautier, F. / Min, Y.-H. / Chen, A. / Yang, H. / Yim, D. / Kim, J. et al. | 2007
- 65204X
-
Characteristics analysis of polarization module on optical proximity effectPark, Chanha / Hong, Jongkyun / Yang, Kiho / Theeuwes, Thomas / Gautier, Frederic / Min, Young-Hong / Chen, Alek / Yang, Hyunjo / Yim, Donggyu / Kim, Jinwoong et al. | 2007
- 652001
-
Front Matter: Volume 6520| 2007
- 652002
-
Marching of the microlithography horses: electron, ion, and photon: past, present, and futureLin, Burn J. et al. | 2007
- 652002
-
Marching of the microlithography horses: electron, ion, and photon - past, present, and future (Invited Paper) [6520-01]Lin, B. J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652003
-
Future directions for CMOS device technology development from a system application perspective (Invited Paper) [6520-02]Ning, T. H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652003
-
Future directions for CMOS device technology development from a system application perspectiveNing, Tak H. et al. | 2007
- 652004
-
Optical lithography: 40 years and holdingBruning, John H. et al. | 2007
- 652004
-
Optical lithography: 40 years and holding (Invited Paper) [6520-03]Bruning, J. H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652005
-
Defects, overlay, and focus performance improvements with five generations of immersion exposure systemsMulkens, Jan / Streefkerk, Bob / Jasper, Hans / de Klerk, Jos / de Jong, Fred / Levasier, Leon / Leenders, Martijn et al. | 2007
- 652005
-
Defects, overlay, and focus performance improvements with five generations of immersion exposure systems [6520-05]Mulkens, J. / Streefkerk, B. / Jasper, H. / de Klerk, J. / de Jong, F. / Levasier, L. / Leenders, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652006
-
Current status of high-index immersion lithography development [6520-06]Ohmura, Y. / Nakashima, T. / Nagasaka, H. / Sukegawa, A. / Ishiyama, S. / Kamijo, K. / Shinkai, M. / Owa, S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652006
-
Current status of high-index immersion lithography developmentOhmura, Yasuhiro / Nakashima, Toshiharu / Nagasaka, Hiroyuki / Sukegawa, Ayako / Ishiyama, Satoshi / Kamijo, Koichi / Shinkai, Masahiko / Owa, Soichi et al. | 2007
- 652007
-
Integrating immersion lithography in 45-nm logic manufacturing [6520-07]Benndorf, M. / Warrick, S. / Conley, W. / Cruau, D. / DeSimone, D. / Mestadi, K. / Farys, V. / Gemmink, J.-W. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652007
-
Integrating immersion lithography in 45-nm logic manufacturingBenndorf, Michael / Warrick, Scott / Conley, Will / Cruau, David / DeSimone, Danilo / Mestadi, Karim / Farys, Vincent / Gemmink, Jan-Willem et al. | 2007
- 652008
-
Performance of immersion lithography for 45-nm-node CMOS and ultra-high density SRAM with 0.25um^2 [6520-08]Mimotogi, S. / Uesawa, F. / Tominaga, M. / Fujise, H. / Sho, K. / Katsumata, M. / Hane, H. / Ikegami, A. / Nagahara, S. / Ema, T. et al. | 2007
- 652008
-
Performance of immersion lithography for 45-nm-node CMOS and ultra-high density SRAM with 0.25um2Mimotogi, Shoji / Uesawa, Fumikatsu / Tominaga, Makoto / Fujise, Hiroharu / Sho, Koutaro / Katsumata, Mikio / Hane, Hiroki / Ikegami, Atsushi / Nagahara, Seiji / Ema, Tatsuhiko et al. | 2007
- 652009
-
Benefit of ArF immersion lithography in 55 nm logic device manufacturing [6520-09]Uchiyama, T. / Tamura, T. / Yoshimochi, K. / Graupner, P. / Bakker, H. / van Setten, E. / Morisaki, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652009
-
Benefit of ArF immersion lithography in 55 nm logic device manufacturingUchiyama, Takayuki / Tamura, Takao / Yoshimochi, Kazuyuki / Graupner, Paul / Bakker, Hans / van Setten, Eelco / Morisaki, Kenji et al. | 2007
- 652011
-
Study of iso-dense bias (IDB) sensitivity to laser spectral shape at the 45nm node [6520-138]Yoshimochi, K. / Uchiyama, T. / Tamura, T. / Theeuwes, T. / Peeters, R. / van der Laan, H. / Bakker, H. / Morisaki, K. / Oga, T. / Society of Photo-optical Instrumentation Engineers et al. | 2007
- 652011
-
Study of iso-dense bias (IDB) sensitivity to laser spectral shape at the 45nm nodeYoshimochi, Kazuyuki / Uchiyama, Takayuki / Tamura, Takao / Theeuwes, Thomas / Peeters, Rudy / van der Laan, Hans / Bakker, Hans / Morisaki, Kenji / Oga, Toshihiro et al. | 2007
- 652012
-
Immersion defect reduction, part II: the formation mechanism and reduction of patterned defectsShiu, Lin-Hung / Liang, Fu-Jye / Chang, Hsing / Chen, Chun-Kuang / Chen, Li-Jui / Gau, Tsai-Sheng / Lin, Burn J. et al. | 2007
- 652012
-
Immersion defect reduction: II. The formation mechanism and reduction of patterned defects [6520-38]Shiu, L.-H. / Liang, F.-J. / Chang, H. / Chen, C.-K. / Chen, L.-J. / Gau, T.-S. / Lin, B. J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652013
-
Optical error sensitivities of immersion lithography [6520-39]Chen, Z. G. / Lai, K. / Racette, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652013
-
Optical error sensitivities of immersion lithographyChen, Zheng G. / Lai, Kafai / Racette, Ken et al. | 2007
- 652014
-
Contamination and particle control system in immersion exposure toolKobayashi, Masamichi / Nakano, Hitoshi / Arakawa, Mikio / Tanabe, Masayuki / Toyoda, Koji / Chibana, Takahito / Matsuoka, Yoichi / Kawasaki, Youji et al. | 2007
- 652014
-
Contamination and particle control system in immersion exposure tool [6520-40]Kobayashi, M. / Nakano, H. / Arakawa, M. / Tanabe, M. / Toyoda, K. / Chibana, T. / Matsuoka, Y. / Kawasaki, Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652015
-
Extending immersion lithography to the 32-nm node [6520-41]Warrick, S. / Conley, W. / Farys, V. / Benndorf, M. / Gemmink, J.-W. / Trouiller, Y. / Belledent, J. / Jovanovic, D. / Gouraud, P. / Society of Photo-optical Instrumentation Engineers et al. | 2007
- 652015
-
Extending immersion lithography to the 32-nm nodeWarrick, Scott / Conley, Will / Farys, Vincent / Benndorf, Michael / Gemmink, Jan-Willem / Trouiller, Yorick / Belledent, Jerome / Jovanovic, Dejan / Gouraud, Pascal et al. | 2007
- 652016
-
Immersion defectivity study with volume production immersion lithography toolNakano, Katsushi / Kato, Hiroshi / Fujiwara, Tomoharu / Shiraishi, K. / Iriuchijima, Yasuhiro / Owa, Soichi / Malik, Irfan / Woodman, Steve / Terala, Prasad / Pelissier, Christine et al. | 2007
- 652016
-
Immersion defectivity study with volume production immersion lithography tool [6520-42]Nakano, K. / Kato, H. / Fujiwara, T. / Shiraishi, K. / Iriuchijima, Y. / Owa, S. / Malik, I. / Woodman, S. / Terala, P. / Pelissier, C. et al. | 2007
- 652017
-
Lossless compression algorithm for hierarchical IC layout dataGu, Allan / Zakhor, Avideh et al. | 2007
- 652017
-
Lossless compression algorithm for hierarchical IC layout data [6520-43]Gu, A. / Zakhor, A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652018
-
Advances in compute hardware platforms for computational lithography [6520-44]Kingsley, T. / Sturtevant, J. / McPherson, S. / Sexton, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652018
-
Advances in compute hardware platforms for computational lithographyKingsley, Tom / Sturtevant, John / McPherson, Steve / Sexton, Matt et al. | 2007
- 652019
-
SEM image contouring for OPC model calibration and verification [6520-167]Tabery, C. / Morokuma, H. / Matsuoka, R. / Page, L. / Bailey, G. E. / Kusnadi, I. / Do, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652019
-
SEM image contouring for OPC model calibration and verificationTabery, Cyrus / Morokuma, Hidetoshi / Matsuoka, Ryoichi / Page, Lorena / Bailey, George E. / Kusnadi, Ir / Do, Thuy et al. | 2007
- 652020
-
XLR 500i: recirculating ring ArF light source for immersion lithography [6520-71]Brown, D. W. / O Keeffe, P. / Fleurov, V. B. / Rokitski, R. / Bergstedt, R. / Fomenkov, I. V. / O Brien, K. / Farrar, N. R. / Partlo, W. N. / Society of Photo-optical Instrumentation Engineers et al. | 2007
- 652020
-
XLR 500i: recirculating ring ArF light source for immersion lithographyBrown, D.J. W. / O'Keeffe, P. / Fleurov, V. B. / Rokitski, R. / Bergstedt, R. / Fomenkov, I. V. / O'Brien, K. / Farrar, N. R. / Partlo, W. N. et al. | 2007
- 652021
-
Catadioptric projection lens for 1.3 NA scannerMatsuyama, Tomoyuki / Ohmura, Yasuhiro / Fujishima, Yohei / Koyama, Takashi et al. | 2007
- 652021
-
Catadioptric projection lens for 1.3 NA scanner [6520-72]Matsuyama, T. / Ohmura, Y. / Fujishima, Y. / Koyama, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652022
-
New projection optics and aberration control system for the 45-nm node [6520-73]Yoshihara, T. / Takeshita, B. / Shigenobu, A. / Hasegawa, Y. / Ohsaki, Y. / Mishima, K. / Miura, S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652022
-
New projection optics and aberration control system for the 45-nm nodeYoshihara, Toshiyuki / Takeshita, Bunsuke / Shigenobu, Atsushi / Hasegawa, Yasuo / Ohsaki, Yoshinori / Mishima, Kazuhiko / Miura, Seiya et al. | 2007
- 652023
-
Integration of a new alignment sensor for advanced technology nodes [6520-74]Hinnen, P. / Depre, J. / Tanaka, S. / Lim, S.-Y. / Brioso, O. / Shahrjerdy, M. / Ishigo, K. / Kono, T. / Higashiki, T. / Society of Photo-optical Instrumentation Engineers et al. | 2007
- 652023
-
Integration of a new alignment sensor for advanced technology nodesHinnen, Paul / Depre, Jerome / Tanaka, Shinichi / Lim, Ser-Yong / Brioso, Omar / Shahrjerdy, Mir / Ishigo, Kazutaka / Kono, Takuya / Higashiki, Tatsuhiko et al. | 2007
- 652024
-
Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography toolSuzuki, Toru / Kakizaki, Kouji / Matsunaga, Takashi / Tanaka, Satoshi / Kawasuji, Yasufumi / Shimbori, Masashi / Yoshino, Masaya / Kumazaki, Takahito / Umeda, Hiroshi / Nagano, Hitoshi et al. | 2007
- 652024
-
Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool [6520-75]Suzuki, T. / Kakizaki, K. / Matsunaga, T. / Tanaka, S. / Kawasuji, Y. / Shimbori, M. / Yoshino, M. / Kumazaki, T. / Umeda, H. / Nagano, H. et al. | 2007
- 652025
-
Demonstration of sub-45-nm features using azimuthal polarization on a 1.30NA immersion microstepperPiscani, Emil C. / Palmer, Shane / Van Peski, Chris et al. | 2007
- 652025
-
Demonstration of sub-45-nm features using azimuthal polarization on a 1.30NA immersion microstepper [6520-77]Piscani, E. C. / Palmer, S. / Van Peski, C. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652026
-
Optical performance enhancement technique for 45-nm-node with binary maskJung, Jin-Sik / Kim, Hee-Bom / Lee, Jeung-Woo / Choi, Sung-Woon / Han, Woo-Sung et al. | 2007
- 652026
-
Optical performance enhancement technique for 45-nm-node with binary mask [6520-78]Jung, J.-S. / Kim, H.-B. / Lee, J.-W. / Choi, S.-W. / Han, W.-S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652027
-
Size tolerance of sub-resolution assist features for sub-50-nm node deviceKim, Byung-Sung / Lee, Sung-Ho / Shin, Hong-Jae / Lee, Nae-In et al. | 2007
- 652027
-
Size tolerance of sub-resolution assist features for sub-50-nm node device [6520-79]Kim, B.-S. / Lee, S.-H. / Shin, H.-J. / Lee, N.-I. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652028
-
A method for generating assist-features in full-chip scale and its application to contact layers of sub-70nm DRAM devicesPark, Dong-Woon / Kim, Sangwook / Hwang, Chan / Lee, Sukjoo / Cho, Han-Ku / Moon, Joo-Tae et al. | 2007
- 652028
-
A method for generating assist-features in full-chip scale and its application to contact layers of sub-70-nm DRAM devices [6520-80]Park, D.-W. / Kim, S. / Hwang, C. / Lee, S. / Cho, H.-K. / Moon, J.-T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652029
-
Process window optimization of CPL mask for beyond 45nm lithographyTan, Soon Yoeng / Lin, Qunying / Tay, Cho Jui / Quan, Chenggen et al. | 2007
- 652029
-
Process window optimization of CPL mask for beyond 45-nm lithography [6520-82]Tan, S. Y. / Lin, Q. / Tay, C. J. / Quan, C. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652030
-
Investigations regarding the prevention of depolarization of ArF excimer laser irradiation by CaF~2 laser optics [6520-110]Natura, U. / Keutel, D. / Letz, M. / Parthier, L. / Knapp, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652030
-
Investigations regarding the prevention of depolarization of ArF excimer laser irradiation by CaF2laser opticsNatura, Ute / Keutel, Dietmar / Letz, Martin / Parthier, Lutz / Knapp, Konrad et al. | 2007
- 652031
-
Reliable high-power injection locked 6kHz 60W laser for ArF immersion lithographyWatanabe, Hidenori / Komae, Shigeo / Tanaka, Satoshi / Nohdomi, Ryoichi / Yamazaki, Taku / Nakarai, Hiroaki / Fujimoto, Junichi / Matsunaga, Takashi / Saito, Takashi / Kakizaki, Kouji et al. | 2007
- 652031
-
Reliable high-power injection locked 6kHz 60W laser for ArF immersion lithography [6520-111]Watanabe, H. / Komae, S. / Tanaka, S. / Nohdomi, R. / Yamazaki, T. / Nakarai, H. / Fujimoto, J. / Matsunaga, T. / Saito, T. / Kakizaki, K. et al. | 2007
- 652032
-
Increased availability of lithography light sources using advanced gas management [6520-112]Dunstan, W. J. / Jacques, R. / O Brien, K. / Ratnam, A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652032
-
Increased availability of lithography light sources using advanced gas managementDunstan, Wayne J. / Jacques, Robert / O'Brien, Kevin / Ratnam, Aravind et al. | 2007
- 652033
-
A study of overlay mark robustness and enhanced alignment techniques for alignment improvement on metal layers of sub-100-nm technology [6520-114]Dubey, K. / Nakamura, T. / Tanaka, H. / Hayashi, N. / Egashira, S. / Mishima, K. / Mase, T. / Takeuchi, T. / Honda, A. / Kakizaki, T. et al. | 2007
- 652033
-
A study of overlay mark robustness and enhanced alignment techniques for alignment improvement on metal layers of sub-100nm technologyDubey, Kaushalia / Nakamura, Toru / Tanaka, Hiroshi / Hayashi, Nozomu / Egashira, Shinichi / Mishima, Kazuhiko / Mase, Tomohiro / Takeuchi, Tamio / Honda, Akihiko / Kakizaki, Takatoshi et al. | 2007
- 652034
-
The optimization of zero-spaced microlenses for 2.2um pixel CMOS image sensor [6520-115]Nam, H. / Park, J. L. / Choi, J. S. / Lee, J. G. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652034
-
The optimization of zero-spaced microlenses for 2.2um pixel CMOS image sensorNam, Hyun hee / Park, Jeong Lyeol / Choi, Jea Sung / Lee, Jeong Gun et al. | 2007
- 652035
-
Laser durability studies of high index immersion fluids: fluid degradation and optics contamination effectsLiberman, V. / Rothschild, M. / Palmacci, S. T. / Zimmerman, P. A. / Grenville, A. et al. | 2007
- 652035
-
Laser durability studies of high index immersion fluids: fluid degradation and optics contamination effects [6520-197]Liberman, V. / Rothschild, M. / Palmacci, S. T. / Zimmerman, P. A. / Grenville, A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652036
-
Illumination optimization with actual information of exposure tool and resist process [6520-116]Tsujita, K. / Mikami, K. / Naka, R. / Baba, N. / Ono, T. / Suzuki, A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652036
-
Illumination optimization with actual information of exposure tool and resist processTsujita, Koichiro / Mikami, Koji / Naka, Ryotaro / Baba, Norikazu / Ono, Tomomi / Suzuki, Akiyoshi et al. | 2007
- 652037
-
Impact of illumination performance on hyper-NA imaging for 45-nm nodeMori, Ken-Ichiro / Yamada, Akihiro / Shiozawa, Takahisa / Takahashi, Kazuhiro et al. | 2007
- 652037
-
Impact of illumination performance on hyper-NA imaging for 45-nm node [6520-117]Mori, K. I. / Yamada, A. / Shiozawa, T. / Takahashi, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652038
-
Optimal solutions for the illuminator and final lens pupil coupled distributions beyond the axial symmetryIvonin, Igor / Sandstrom, Tor et al. | 2007
- 652038
-
Optimal solutions for the illuminator and final lens pupil coupled distributions beyond the axial symmetry [6520-120]Ivonin, I. / Sandstrom, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652039
-
Sensitivity of hyper-NA immersion lithography to illuminator imperfectionsGao, Weimin / De Winter, Laurens et al. | 2007
- 652039
-
Sensitivity of hyper-NA immersion lithography to illuminator imperfections [6520-122]Gao, W. / De Winter, L. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652040
-
Golden curve method for OPC signature stability control in high MEEF applications [6520-158]Geidel, K. / Franke, T. / Roling, S. / Buck, P. / Sczyrba, M. / Mittermeier, E. / Cinque, R. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652040
-
Golden curve method for OPC signature stability control in high MEEF applicationsGeidel, Katja / Franke, Torsten / Roling, Stefan / Buck, Peter / Sczyrba, Martin / Mittermeier, Engelbert / Cinque, Russell et al. | 2007
- 652041
-
Mask enhancement using an evanescent wave effect (Best Student Paper Award) [6520-159]Lafferty, N. V. / Zhou, J. / Smith, B. W. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652041
-
Mask enhancement using an evanescent wave effectLafferty, Neal V. / Zhou, Jianming / Smith, Bruce W. et al. | 2007
- 652042
-
The gate CD uniformity improvement by the layout retarget with refer to the litho processChung, No-Young / Yoon, Yeon-Ju / Lee, Sung-Ho / Kim, Sung-Il / Ha, Sang-Rok / Lee, Sun-Yong et al. | 2007
- 652042
-
The gate CD uniformity improvement by the layout retarget with refer to the litho process [6520-160]Chung, N.-Y. / Yoon, Y.-J. / Lee, S.-H. / Kim, S.-I. / Ha, S.-R. / Lee, S.-Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652043
-
Toward standard process models for OPCGranik, Yuri / Medvedev, Dmitry / Cobb, Nick et al. | 2007
- 652043
-
Toward standard process models for OPC [6520-161]Granik, Y. / Medvedev, D. / Cobb, N. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652044
-
Modular process modeling for OPCKeck, M. C. / Bodendorf, C. / Schmidtling, T. / Schlief, R. / Wildfeuer, R. / Zumpe, S. / Niehoff, M. et al. | 2007
- 652044
-
Modular process modeling for OPC [6520-162]Keck, M. C. / Bodendorf, C. / Schmidtling, T. / Schlief, R. / Wildfeuer, R. / Zumpe, S. / Niehoff, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652045
-
Fast predictive post-OPC contact/via printability metric and validationYu, Peng / Pan, David Z. et al. | 2007
- 652045
-
Fast predictive post-OPC contact/via printability metric and validation [6520-163]Yu, P. / Pan, D. Z. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652046
-
Analysis of pattern density on process proximity compensationJung, Sunwook / Lo, Fred / Yang, Tien-Chu / Yang, Ta-Hong / Chen, Kuang-Chao / Lu, Chih-Yuan et al. | 2007
- 652046
-
Analysis of pattern density on process proximity compensation [6520-165]Jung, S. / Lo, F. / Yang, T.-C. / Yang, T.-H. / Chen, K.-C. / Lu, C.-Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652047
-
Advanced new OPC method to improve OPC accuracy for sub-90-nm technology [6520-166]Choi, J. / Kang, J. / Shim, Y. / Yun, K. / Hong, J. / Lee, Y. / Kim, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652047
-
Advanced new OPC method to improve OPC accuracy for sub-90nm technologyChoi, Jaeyoung / Kang, Jaehyun / Shim, Yeonah / Yun, Kyunghee / Hong, Jiho / Lee, Yongseok / Kim, Keeho et al. | 2007
- 652048
-
Improving the model robustness for OPC by extracting relevant test patterns for calibration [6520-168]Jeong, M.-G. / Lee, S.-H. / Jung, J.-E. / Hyon, C. / Choi, I. / Kang, Y.-S. / Park, Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 652048
-
Improving the model robustness for OPC by extracting relevant test patterns for calibrationJeong, Moon-Gyu / Lee, Sang-Ho / Jung, Jee-Eun / Hyon, Chankyeong / Choi, Iljung / Kang, Young-Seog / Park, Youngkyou et al. | 2007
-
Snell or Fresnel: the influence of material index on hyper-NA lithography (Invited Paper) [6520-10]Smith, B. / Zhou, J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Polarization-dependent proximity effects [6520-14]Tyminski, J. K. / Matsuyama, T. / Nakashima, T. / Schmoeller, T. / Lewellen, J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Control of polarization and apodization with film materials on photomasks and pellicles for high NA imaging performance [6520-24]Cheng, W.-H. / Farnsworth, J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Polarization aberration analysis using Pauli-Zernike representation [6520-34]Yamamoto, N. / Kye, J. / Levinson, H. J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
32-nm SOC printing with double patterning, regular design, and 1.2 NA immersion scanner [6520-49]Trouiller, Y. / Farys, V. / Borjon, A. / Belledent, J. / Couderc, C. / Sundermann, F. / Urbani, J.-C. / Rody, Y. / Gardin, C. / Planchot, J. et al. | 2007
-
ACLV driven double-patterning decomposition with extensively added printing assist features (PrAFs) [6520-65]Meiring, J. E. / Haffner, H. / Fonseca, C. / Halle, S. D. / Mansfield, S. M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Immersion exposure tool for the 45-nm HP mass production [6520-68]Kubo, H. / Hata, H. / Sakai, F. / Deguchi, N. / Iwanaga, T. / Ebihara, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Performance of a 1.35NA ArF immersion lithography system for 40-nm applications [6520-69]de Klerk, J. / Wagner, C. / Droste, R. / Levasier, L. / Jorritsma, L. / van Setten, E. / Kattouw, H. / Jacobs, J. / Heil, T. / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Exposure and compositional factors that influence polarization induced birefringence in silica glass [6520-70]Allan, D. C. / Mlejnek, M. / Neukirch, U. / Smith, C. M. / Smith, F. M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Process margin improvement using custom transmission EAPSM reticles [6520-86]Buntin, J. / Agarwal, S. / Rolfson, B. / Housley, R. / Baggenstoss, B. / Byers, E. / Progler, C. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Verification of high-transmittance PSM with polarization at 193-nm high-NA system [6520-88]Chiu, C. F. / Chen, C. L. / Lee, J. W. / Wu, W. B. / Shih, C. L. / Chen, F. Y. / Lin, J. P. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A litho-only approach to double patterning [6520-20]Vanleenhove, A. / Van Steenwinckel, D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
The improvement of photolithographic fidelity of two-dimensional structures through double exposure method [6520-91]Wenren, Q. / Ding, H. / Li, X. / Sun, C. / Zhu, J. / Wu, Q. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A study of process window capabilities for two-dimensional structures under double exposure condition [6520-98]Wu, Q. / Wu, P. / Zhu, J. / Ding, H. / Li, X. / Sun, C. / Peng, C. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
ILT for double exposure lithography with conventional and novel materials [6520-100]Poonawala, A. / Borodovsky, Y. / Milanfar, P. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Flare effect of different shape of illumination apertures in 193-nm optical lithography system [6520-103]Yun, Y.-J. / Kim, J. / Kim, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
On the quality of measured optical aberration coefficients using phase wheel monitor [6520-149]Zavyalova, L. V. / Robinson, A. R. / Bourov, A. / Lafferty, N. V. / Smith, B. W. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Virtual OPC at hyper NA lithography [6520-155]Lee, S. / Kim, S.-W. / Chun, Y.-J. / Suh, S.-S. / Jang, Y.-K. / Lee, S.-J. / Choi, S.-W. / Han, W.-S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
ACLV performance dry vs. immersion on 45-nm ground rules [6520-179]Schroeder, U. P. / Yap, C.-C. / Sarma, C. S. / Thomas, A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
New color alignment for CMOS image sensor [6520-184]Dagan, M. K. / Edart, R. / Rechtman, H. / Kanfi, Y. / Warnaar, P. / Moshe, O. / van Haren, R. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A thin FinFET Si-fin body structure fabricated with 193-nm scanner photolithography and composite hard mask etching technique upon bulk-Si substrate [6520-185]Liao, W.-S. / Liu, Y.-H. / Chang, W.-T. / Chen, T.-H. / Shih, T. / Tsen, H.-C. / Chung, L. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Three-dimensional mask effects and source polarization impact on OPC model accuracy and process window [6520-188]Saied, M. / Foussadier, F. / Belledent, J. / Trouiller, Y. / Schanen, I. / Gardin, C. / Urbani, J. C. / Montgomery, P. K. / Sundermann, F. / Robert, F. et al. | 2007
-
A solution for exposure tool optimization at the 65-nm node and beyond [6520-26]Itai, D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Phase-shifted assist feature OPC for sub-45-nm node optical lithography [6520-45]Yoon, G.-S. / Kim, H.-B. / Lee, J.-W. / Choi, S.-W. / Han, W.-S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
The random contact hole solutions for future technology nodes [6520-46]Chen, A. / Hansen, S. / Moers, M. / Shieh, J. / Engelen, A. / van Ingen Schenau, K. / Tseng, S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Effects of reticle birefringence on 193-nm lithography [6520-55]Light, S. / Tsyba, I. / Petz, C. / Baluswamy, P. / Rolfson, B. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
High-index fluoride materials for 193-nm immersion lithography [6520-60]Nawata, T. / Inui, Y. / Masada, I. / Nishijima, E. / Mabuchi, T. / Mochizuki, N. / Satoh, H. / Fukuda, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Precise measurement of process bias and its relation to MEEF [6520-139]Zavecz, T. E. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A comparative study for mask defect tolerance on phase and transmission for dry and immersion 193-nm lithography [6520-150]Ling, M. L. / Chua, G. S. / Tay, C. J. / Quan, C. / Lin, Q. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Feasibility study of 45nm metal patterning with 0.93 NA [6520-181]Cheng, Y. F. / Chou, Y. L. / Hou, Y. C. / Lu, B. J. / Yang, C. H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A thick CESL stressed ultra-small (Lg=40-nm) SiGe-channel MOSFET fabricated with 193-nm scanner lithography and TEOS hard mask etching [6520-187]Liao, W.-S. / Chen, T.-H. / Lin, H.-H. / Chang, W.-T. / Shih, T. / Tsen, H.-C. / Chung, L. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Analysis of diffraction orders including mask topography effects for OPC optimization [6520-190]Inazuki, Y. / Toyama, N. / Adachi, T. / Nagai, T. / Suto, T. / Morikawa, Y. / Mohri, H. / Hayashi, N. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Issues and challenges of double patterning lithography in DRAM [6520-17]Kim, S.-M. / Koo, S.-Y. / Choi, J.-S. / Hwang, Y.-S. / Park, J.-W. / Kang, E.-K. / Lim, C.-M. / Moon, S.-C. / Kim, J.-W. / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Process window and interlayer aware OPC for the 32-nm node [6520-28]Terry, M. / Zhang, G. / Lu, G. / Chang, S. / Aton, T. / Soper, R. / Mason, M. / Best, S. / Dostalik, B. / Hunsche, S. et al. | 2007
-
OPC in memory-device patterns using boundary layer model for 3-dimensional mask topographic effect [6520-29]Kim, Y.-C. / Kim, I. / Park, J. / Kim, S. / Suh, S. / Cheon, Y. / Lee, S. / Lee, J. / Kang, C.-J. / Moon, J. et al. | 2007
-
Early look into device level imaging with beyond water immersion [6520-56]Conley, W. / Warrick, S. / Garza, C. / Goirand, P.-J. / Gemmink, J.-W. / Van Steenwinckel, D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Utilization of optical proximity effects for resist image stitching [6520-63]Fan, Y. / Castro, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Mask-friendly OPC for a reduced mask cost and writing time [6520-156]Yehia, A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
CDU minimization at the 45-nm node and beyond: optical, resist, and process contributions to CD control [6520-177]Scheer, S. / Carcasi, M. / Shibata, T. / Otsuka, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Optimization of DUV lithography for high-energy well implantation [6520-182]Deschner, R. / Kim, S.-D. / Mann, R. / Stidham, M. / Johnson, G. M. / Rolick, J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
The choice of mask in consideration of polarization effects at high-NA system [6520-189]Kim, S.-H. / Yu, S.-Y. / Kim, Y.-H. / Lee, J.-W. / Cho, H.-K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
The modeling of double patterning lithographic processes [6520-19]Robertson, S. A. / Graves, T. / Smith, M. D. / Biafore, J. J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Visualizing the impact of the illumination distribution upon imaging, and applying the insights gained [6520-118]Slonaker, S. D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Best focus determination: bridging the gap between optical and physical topography [6520-36]Kahlenberg, F. / Seltmann, R. / La Fontaine, B. M. / Wirtz, R. / Kisteman, A. / Vanneer, R. N. M. / Pieters, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Development and characterization of a 300-mm dual-side alignment stepper [6520-101]Flack, W. W. / True, E. M. / Hsieh, R. / Fuchs, D. / Ellis, R. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Use of starburst patterns in optical lithography [6520-143]Burkhardt, M. / Tabery, C. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Methods and factors to optimize OPC run-time [6520-157]Dave, A. D. / Babcock, C. P. / McGowan, S. N. / Zou, Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Geometrical description of the microloading effect in silicon trench structures [6520-173]Titarenko, I. / Altshuler, E. / Tweg, R. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Studying the 3D mask effect on CD variation for 65-nm and beyond [6520-176]Hung, C.-Y. / Gong, Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
ARC stack development for hyper-NA imaging [6520-186]Farys, V. / Warrick, S. / Chaton, C. / Chapon, J.-D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Global optimization of masks, including film stack design to restore TM contrast in high NA TCCs [6520-25]Rosenbluth, A. E. / Melville, D. / Tian, K. / Lai, K. / Seong, N. / Pfeiffer, D. / Colbum, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Generalized inverse lithography methods for phase-shifting mask design [6520-30]Ma, X. / Arce, G. R. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Patterning with amorphous carbon spacer for expanding the resolution limit of current lithography tool [6520-48]Jung, W.-Y. / Kim, S.-M. / Kim, C.-D. / Sim, G.-H. / Jeon, S.-M. / Park, S.-W. / Lee, B.-S. / Park, S.-K. / Kim, J.-S. / Heon, L.-S. et al. | 2007
-
Dr.LiTHO: a development and research lithography simulator [6520-131]Fuhner, T. / Schnattinger, T. / Ardelean, G. / Erdmann, A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Distributed model calibration using Levenberg-Marquardt algorithm [6520-125]Lu, M. / Zhu, L. / Ling, L. / Zhang, G. / Chan, W. / Zhou, X. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Comparing traditional OPC to field-based OPC for 45-nm node production [6520-175]Farnbach, R. / Tuttle, J. / StJohn, M. / Brown, R. / Gerold, D. / Lucas, K. / Lugg, R. / Shiely, J. / Rieger, M. / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Pitch doubling through dual-patterning lithography challenges in integration and litho budgets [6520-16]Dusa, M. / Quaedackers, J. / Larsen, O. F. A. / Meessen, J. / van der Heijden, E. / Dicker, G. / Wismans, O. / de Haas, P. / van Ingen Schenau, K. / Finders, J. et al. | 2007
-
Dark field double dipole lithography (DDL) for back-end-of-line processes [6520-97]Burkhardt, M. / Burns, S. / Dunn, D. / Brunner, T. A. / Hsu, S. D. / Park, J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A study of double exposure process design with balanced performance parameters for line/space applications [6520-90]Zhu, J. / Wu, P. / Wu, Q. / Ding, H. / Li, X. / Sun, C. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Transistor-based electrical test structures for lithography and process characterization [6520-142]Poppe, W. J. / Holwill, J. / Pang, L.-T. / Friedberg, P. / Liu, Q. / Alarcon, L. / Neureuther, A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Effects of laser bandwidth on iso-dense bias and line-end shortening at sub-micron process nodes [6520-148]Peng, R. C. / Yang, A. K. / Chen, L. J. / Guo, Y. W. / Liu, H. H. / Lin, J. / Chang, A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
OPC-free on-grid fine random hole pattern formation utilizing double resist patterning with double RETs [6520-153]Nakao, S. / Maejima, S. / Yamamoto, T. / Ono, Y. / Sakai, J. / Yamaguchi, A. / Imai, A. / Hanawa, T. / Sukoh, K. / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Defect testing using an immersion exposure system to apply immediate pre-exposure and post-exposure water soaks [6520-194]Watso, R. D. / Laursen, T. / Pierson, B. / Cummings, K. D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Pushing the boundary: low-k1 extension by polarized illumination [6520-12]van Setten, E. / de Boeij, W. / Hepp, B. / le Masson, N. / Swinkels, G. / van de Kerkhof, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Ultra-low k~1 oxide contact hole formation and metal filling using resist contact hole pattern by double l&s formation method [6520-50]Nakamura, H. / Omura, M. / Yamashita, S. / Taniguchi, Y. / Abe, J. / Tanaka, S. / Inoue, S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
High-index immersion lithography with second-generation immersion fluids to enable numerical aperatures of 1.55 for cost effective 32-nm half pitches [6520-59]French, R. H. / Liberman, V. / Tran, H. V. / Feldman, J. / Adelman, D. J. / Wheland, R. C. / Qiu, W. / McLain, S. J. / Nagao, O. / Kaku, M. et al. | 2007
-
SRAF placement and sizing using inverse lithography technology [6520-83]Lin, T. / Robert, F. / Borjon, A. / Russell, G. / Martinelli, C. / Moore, A. / Rody, Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Feasibility study of splitting pitch technology on 45-nm contact patterning with 0.93 NA [6520-96]Cheng, Y. F. / Chou, Y. L. / Tseng, T. C. / Hsueh, B. Y. / Yang, C. H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
New double exposure technique without alternating phase-shift mask [6520-99]Yamamoto, T. / Yao, T. / Futatsuya, H. / Chijimatsu, T. / Asai, S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
The calibration of process window model for 55-nm node [6520-123]Wu, T. H. / Huang, S. Y. / Huang, C. W. / Tsai, P. R. / Yang, C. H. / Su, I. Y.-J. / Falch, B. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Heuristics for truncating the number of optical kernels in Hopkins image calculations for model-based OPC treatment [6520-134]Zuniga, C. / Tejnil, E. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Challenging to meet 1-nm iso-dense bias (IDB) by controlling laser spectrum [6520-144]Oga, T. / Yamamoto, T. / Yao, T. / Asai, S. / Kudo, T. / Toki, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Immersion lithography with numerical apertures above 2.0 using high index optical materials [6520-191]Zhou, J. / Lafferty, N. V. / Smith, B. W. / Burnett, J. H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Hyper NA polarized imaging of 45-nm DRAM [6520-11]Lim, C.-M. / Park, S. / Hyun, Y.-S. / Kim, J.-S. / Eom, T.-S. / Park, J.-T. / Moon, S.-C. / Kim, J.-W. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Modeling polarization for hyper-NA lithography tools and masks [6520-13]Lai, K. / Rosenbluth, A. E. / Han, G. / Tirapu-Azpiroz, J. / Meiring, J. / Goehnermeier, A. / Kneer, B. / Totzeck, M. / de Winter, L. / de Boeij, W. et al. | 2007
-
Evaluating the performance of a 193-nm hyper-NA immersion scanner using scatterometry [6520-21]Kritsun, O. / La Fontaine, B. / Sandberg, R. / Acheta, A. / Levinson, H. J. / Lensing, K. / Dusa, M. / Hauschild, J. / Pici, A. / Saravanan, C. et al. | 2007
-
Distinguishing dose, focus, and blur for lithography characterization and control [6520-22]Ausschnitt, C. P. / Brunner, T. A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Pupil plane analysis on AIMS 45-193i for advanced photomasks [6520-52]Morikawa, Y. / Sutou, T. / Mesuda, K. / Nagai, T. / Inazuki, Y. / Adachi, T. / Toyama, N. / Mohri, H. / Hayashi, N. / Stroessner, U. et al. | 2007
-
Mask 3D effect on 45-nm imaging using attenuated PSM [6520-54]Sato, K. / Itoh, M. / Sato, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Latest results from the hyper-NA immersion scanners S609B and S610C [6520-67]Ishikawa, J. / Fujiwara, T. / Shiraishi, K. / Ishii, Y. / Nei, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Quasi-telecentricity: the effects of unbalanced multipole illumination [6520-106]Renwick, S. P. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Assessment of trade-off between resist resolution and sensitivity for optimization of hyper-NA immersion lithography [6520-140]Kishikawa, Y. / Kawashima, M. / Ohkubo, A. / Iwasaki, Y. / Takeuchi, S. / Yoshii, M. / Honda, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Patterning control budgets for the 32-nm generation incorporating lithography, design, and RET variations [6520-23]Lucas, K. / Cork, C. / Cobb, J. / Ward, B. / Drapeau, M. / Zhang, C. / Allgair, J. / Kling, M. / Rieger, M. / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Application of full-chip optical proximity correction for sub-60-nm memory device in polarized illumination [6520-62]Yune, H.-S. / Ahn, Y.-B. / Lee, D. / Moon, J. / Nam, B.-H. / Yim, D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
SEM based data extraction for model calibration [6520-124]Al-Imam, M. / Liao, H. Y. / Schacht, J. / Bailey, G. E. / Wu, T. H. / Huang, C. W. / Huang, S. Y. / Tsai, P. R. / Yang, C. H. / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Lithographic characterization of evanescent-wave imaging systems [6520-132]Graves, T. / Smith, M. D. / Robertson, S. A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
The causes of horizontal-vertical (H-V) bias in optical lithography: dipole source errors [6520-151]Biafore, J. J. / Mack, C. A. / Robertson, S. A. / Smith, M. D. / Kapasi, S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Rapid search of the optimum placement of assist feature to improve the aerial image gradient in iso-line structure [6520-170]Li, J. / Yan, Q. / Melvin, L. S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Fast and accurate 3D mask model for full-chip OPC and verification [6520-27]Liu, P. / Cao, Y. / Chen, L. / Chen, G. / Feng, M. / Jiang, J. / Liu, H. / Suh, S. / Lee, S.-W. / Lee, S. et al. | 2007
-
Feasibility of 37-nm half-pitch with ArF high-index immersion lithography [6520-61]Sekine, Y. / Kawashima, M. / Sakamoto, E. / Sakai, K. / Yamada, A. / Honda, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Methods for comparative extraction of OPC response [6520-64]Zavecz, T. E. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Optimal SRAF placement for process window enhancement in 65-nm/45-nm technology [6520-84]Sarma, C. / Herold, K. / Noelscher, C. / Schroeder, P. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Quantum state control interference lithography and trim double patterning for 32-16 nm lithography [6520-94]Frankel, R. D. / Smith, B. W. / Estroff, A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Double exposure using 193-nm negative tone photoresist [6520-95]Kim, R. / Wallow, T. / Kye, J. / Levinson, H. J. / White, D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Thermal aberration control for low-k1 lithography [6520-105]Uehara, Y. / Matsuyama, T. / Nakashima, T. / Ohmura, Y. / Ogata, T. / Suzuki, K. / Tokuda, N. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Investigation of DFM-lite ORC approach during OPC simulation [6520-174]Lim, C. T. / Peter, K. / Temchenko, V. / Wallis, D. / Kaiser, D. / Meusel, I. / Schmidt, S. / Niehoff, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Sources and scaling laws for LER and LWR [6520-33]Sandstrom, T. / Rydberg, C. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A discussion of the regression of physical parameters for photolithographic process models [6520-66]Melvin, L. S. / Lucas, K. D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Sub-k1 = 0.25 lithography with double patterning technique for 45-nm technology node flash memory devices at Lambda = 193nm [6520-93]Capetti, G. / Cantu, P. / Galassini, E. / Pret, A. V. / Turco, C. / Vaccaro, A. / Rigolli, P. / D Angelo, F. / Cotti, G. / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Double patterning with multilayer hard mask shrinkage for sub-0.25 k1 lithography [6520-92]Liu, H. J. / Hsieh, W. H. / Yeh, C. H. / Wu, J. S. / Chan, H. W. / Wu, W. B. / Chen, F. Y. / Huang, T. Y. / Shih, C. L. / Lin, J. P. et al. | 2007
-
Silicon verification of flare model and application to real chip for long range proximity correction [6520-104]Zhang, D. / Choi, B. I. / Mei, F. Y. / Mulia, S. T. / Hsieh, J. Y. / Word, J. / Yudhistira, Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A solid-state 193-nm laser with high spatial coherence for sub-40-nm interferometric immersion lithography [6520-109]Merriam, A. J. / Bethune, D. S. / Hoffnagle, J. A. / Hinsberg, W. D. / Jefferson, C. M. / Jacob, J. J. / Litvin, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Topography induced defocus with a scanning exposure system [6520-136]Liegl, B. R. / Felix, N. / Brodsky, C. / Dobuzinsky, D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A feasible model-based OPC algorithm using Jacobian matrix of intensity distribution functions [6520-172]Chen, Y. / Wu, K. / Shi, Z. / Yan, X. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Challenges and solutions for transferring a 248-nm process to 365-nm imaging [6520-183]Serebriakov, A. / Chang, C. / Becht, A. / Pluijms, R. / Cheng, A. / Shi, E. / van den Broek, H. / Zhao, L. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Immersion defect reduction: I. Analysis of water leaks in an immersion scanner [6520-193]Liang, F.-J. / Chang, H. / Shiu, L.-H. / Chen, C.-K. / Chen, L.-J. / Gau, T.-S. / Lin, B. J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Polarization properties of state-of-art lithography optics represented by first canonical coordinate of Lie group [6520-195]Fujii, T. / Kudo, Y. / Ohmura, Y. / Suzuki, K. / Kogo, J. / Mizuno, Y. / Kita, N. / Sawada, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
The impact of projection lens polarization properties on lithographic process at hyper-NA [6520-15]Geh, B. / Rouff, J. / Zimmermann, J. / Graupner, P. / Totzeck, M. / Mengel, M. / Hempelmann, U. / Schmitt-Weaver, E. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Manufacturability issues with double patterning for 50-nm half-pitch single damascene applications using RELACS shrink and corresponding OPC [6520-18]de Beeck, M. O. / Versluijs, J. / Wiaux, V. / Vandeweyer, T. / Ciofi, I. / Struyf, H. / Hendrickx, D. / Van Olmen, J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
The impact of the mask stack and its optical parameters on the imaging performance [6520-53]Erdmann, A. / Fuhner, T. / Seifert, S. / Popp, S. / Evanschitzky, P. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Extending immersion lithography with high-index materials: results of a feasibility study [6520-57]Sewell, H. / Mulkens, J. / Graeupner, P. / McCafferty, D. / Markoya, L. / Donders, S. / Samarakone, N. / Duesing, R. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Intensity weighed focus drilling exposure for maximizing process window of sub-100-nm contact by simulation [6520-85]Jung, S. / Yang, T.-C. / Yang, T.-H. / Chen, K.-C. / Lu, C.-Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Analytical approach to high-NA images [6520-126]Kim, S.-K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Modeling and performance metrics for longitudinal chromatic aberrations, focus-drilling, and Z-noise: exploring excimer laser pulse-spectra [6520-127]Smith, M. / Bendik, J. / Lalovic, I. / Farrar, N. / Howard, W. / Sallee, C. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Understanding the impact of rigorous mask effects in the presence of empirical process models used in optical proximity correction (OPC) [6520-141]Lam, M. C. / Adam, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Impact of mask error on OPC for 45-nm node [6520-145]Park, O. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Taking image quality factor into the OPC model tuning flow [6520-147]Wang, C.-H. / Liu, Q. / Zhang, L. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007