Carbon-based interconnect: Performance, scaling and reliability of 3D stacked multilayer graphene system (Englisch)
- Neue Suche nach: Tianhua Yu,
- Neue Suche nach: Kim, E.
- Neue Suche nach: Jain, N.
- Neue Suche nach: Yang Xu,
- Neue Suche nach: Geer, R.
- Neue Suche nach: Bin Yu,
- Neue Suche nach: Tianhua Yu,
- Neue Suche nach: Kim, E.
- Neue Suche nach: Jain, N.
- Neue Suche nach: Yang Xu,
- Neue Suche nach: Geer, R.
- Neue Suche nach: Bin Yu,
In:
2011 International Electron Devices Meeting
;
7.5.1-7.5.4
;
2011
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Carbon-based interconnect: Performance, scaling and reliability of 3D stacked multilayer graphene system
-
Beteiligte:
-
Erschienen in:2011 International Electron Devices Meeting ; 7.5.1-7.5.4
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.12.2011
-
Format / Umfang:954679 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
[Copyright notice]| 2011
- 1
-
Award presentations plenary session award| 2011
- 1
-
Welcome from the general chairIshimaru, Kazunari et al. | 2011
- 1
-
IEDM Executive Committee| 2011
- 1.1.1
-
The evolution of scaling from the homogeneous era to the heterogeneous eraBohr, M. et al. | 2011
- 1.2.1
-
Approach toward achieving sustainable mobilityMatsumoto, T. et al. | 2011
- 1.3.1
-
Extended scalability and functionalities of MRAM based on thermally assisted writingDieny, B. / Sousa, R. / Bandiera, S. / Castro Souza, M. / Auffret, S. / Rodmacq, B. / Nozieres, J. P. / Herault, J. / Gapihan, E. / Prejbeanu, I. L. et al. | 2011
- 2.1.1
-
High-frequency performance of graphene field effect transistors with saturating IV-characteristicsMeric, I. / Dean, C. R. / Shu-Jen Han, / Lei Wang, / Jenkins, K. A. / Hone, J. / Shepard, K. L. et al. | 2011
- 2.2.1
-
Graphene technology with inverted-T gate and RF passives on 200 mm platformShu-Jen Han, / Valdes-Garcia, A. / Bol, A. A. / Franklin, A. D. / Farmer, D. / Kratschmer, E. / Jenkins, K. A. / Haensch, W. et al. | 2011
- 2.3.1
-
Assessment of graphene nanomesh and nanoroad transistors by chemical modificationGyungseon Seol, / Jing Guo, et al. | 2011
- 2.4.1
-
Is graphene contacting with metal still graphene?Nagashio, K. / Moriyama, T. / Ifuku, R. / Yamashita, T. / Nishimura, T. / Toriumi, A. et al. | 2011
- 2.5.1
-
Electrically switchable graphene photo-sensor using phase-change gate filter for non-volatile data storage application with high-speed data writing and accessGang Zhang, / Tian-Zi Shen, / Hua-Min Li, / Dae-Yeong Lee, / Chang-Ho Ra, / Won Jong Yoo, et al. | 2011
- 2.6.1
-
A novel low aspect-ratio Si nano-hemisphere surface texturing scheme for ultrathin film solar cellsLi, Y. L. / Yu, H. Y. / Li, J. S. / Wong, S. M. / Zhu, H. L. / Singh, N. / Lo, P. G. Q. / Kwong, D. L. et al. | 2011
- 3.1.1
-
PRAM cell technology and characterization in 20nm node sizeKang, M. J. / Park, T. J. / Kwon, Y. W. / Ahn, D. H. / Kang, Y. S. / Jeong, H. / Ahn, S. J. / Song, Y. J. / Kim, B. C. / Nam, S. W. et al. | 2011
- 3.2.1
-
A low power phase change memory using thermally confined TaN/TiN bottom electrodeWu, J. Y. / Breitwisch, M. / Kim, S. / Hsu, T. H. / Cheek, R. / Du, P. Y. / Li, J. / Lai, E. K. / Zhu, Y. / Wang, T. Y. et al. | 2011
- 3.3.1
-
Highly productive PCRAM technology platform and full chip operation: Based on 4F2 (84nm pitch) cell scheme for 1 Gb and beyondLee, S. H. / Park, H. C. / Kim, M. S. / Kim, H. W. / Choi, M. R. / Lee, H. G. / Seo, J. W. / Kim, S. C. / Kim, S. G. / Hong, S. B. et al. | 2011
- 3.4.1
-
A high performance phase change memory with fast switching speed and high temperature retention by engineering the GexSbyTez phase change materialCheng, H. Y. / Hsu, T. H. / Raoux, S. / Wu, J. Y. / Du, P. Y. / Breitwisch, M. / Zhu, Y. / Lai, E. K. / Joseph, E. / Mittal, S. et al. | 2011
- 3.5.1
-
Drift-resilient cell-state metric for multilevel phase-change memoryPapandreou, N. / Sebastian, A. / Pantazi, A. / Breitwisch, M. / Lam, C. / Pozidis, H. / Eleftheriou, E. et al. | 2011
- 3.6.1
-
Thermally-assisted Ti/Pr0.7Ca0.3MnO3 ReRAM with excellent switching speed and retention characteristicsSeungjae Jung, / Siddik, M. / Wootae Lee, / Jubong Park, / Xinjun Liu, / Jiyong Woo, / Choi, Godeuni / Joonmyoung Lee, / Nodo Lee, / Yun Hee Jang, et al. | 2011
- 3.7.1
-
Quantized conductive filament formed by limited Cu source in sub-5nm eraPark, J. / Lee, W. / Choe, M. / Jung, S. / Son, M. / Kim, S. / Park, S. / Shin, J. / Lee, D. / Siddik, M. et al. | 2011
- 4.1.1
-
Architecting advanced technologies for 14nm and beyond with 3D FinFET transistors for the future SoC applicationsKeshavarzi, A. / Somasekhar, D. / Rashed, M. / Ahmed, S. / Maitra, K. / Miller, R. / Knorr, A. / Jin Cho, / Augur, R. / Banna, S. et al. | 2011
- 4.2.1
-
Novel VTH self-adjusting MISFET with SiN charge trap layer for ultra low power LSITatsumura, K. / Kawasumi, A. / Kawanaka, S. et al. | 2011
- 4.3.1
-
A 600MHz MTJ-based nonvolatile latch making use of incubation time in MTJ switchingEndoh, T. / Togashi, S. / Iga, F. / Yoshida, Y. / Ohsawa, T. / Koike, H. / Fukami, S. / Ikeda, S. / Kasai, N. / Sakimura, N. et al. | 2011
- 4.4.1
-
Phase change memory as synapse for ultra-dense neuromorphic systems: Application to complex visual pattern extractionSuri, M. / Bichler, O. / Querlioz, D. / Cueto, O. / Perniola, L. / Sousa, V. / Vuillaume, D. / Gamrat, C. / DeSalvo, B. et al. | 2011
- 4.5.1
-
Device circuit co-design using classical and non-classical III–V Multi-Gate Quantum-Well FETs (MuQFETs)Lu Liu, / Saripalli, V. / Narayanan, V. / Datta, S. et al. | 2011
- 4.6.1
-
Overcoming carbon nanotube variations through co-optimized technology and circuit designJie Zhang, / Patil, N. / Wong, H.-S P. / Mitra, S. et al. | 2011
- 5.1.1
-
Performance limits of superlattice-based steep-slope nanowire FETsGnani, E. / Maiorano, P. / Reggiani, S. / Gnudi, A. / Baccarani, G. et al. | 2011
- 5.2.1
-
A simulation study of strain induced performance enhancements in InAs nanowire Tunnel-FETsConzatti, F. / Pala, M. G. / Esseni, D. / Bano, E. / Selmi, L. et al. | 2011
- 5.3.1
-
Two-dimensional quantum mechanical modeling of band-to-band tunneling in indirect semiconductorsVandenberghe, W. G. / Soree, B. / Magnus, W. / Fischetti, M. V. / Verhulst, A. S. / Groeseneken, G. et al. | 2011
- 5.4.1
-
Statistical variability and reliability in nanoscale FinFETsXingsheng Wang, / Brown, A. R. / Binjie Cheng, / Asenov, A. et al. | 2011
- 5.5.1
-
A unified 3D device simulation of random dopant, interface trap and work function fluctuations on high-к/metal gate deviceYiming Li, / Hui-Wen Cheng, / Yung-Yueh Chiu, / Chun-Yen Yiu, / Hsin-Wen Su, et al. | 2011
- 5.6.1
-
Self-heating induced feedback effect on drain current mismatch and its modelingKuo, J. J.-Y / Pin Su, et al. | 2011
- 5.7.1
-
Transistor matching and silicon thickness variation in ETSOI technologyHook, T. B. / Vinet, M. / Murphy, R. / Ponoth, S. / Grenouillet, L. et al. | 2011
- 6.1.1
-
3D-carrier profiling in FinFETs using scanning spreading resistance microscopyMody, J. / Zschatzsch, G. / Kolling, S. / De Keersgieter, A. / Eneman, G. / Kambham, A. K. / Drijbooms, C. / Schulze, A. / Chiarella, T. / Horiguchi, N. et al. | 2011
- 6.2.1
-
Direct two-dimensional electrostatic potential cross-sectional mapping of sub-30-nm MOSFET under operation mode using electron holographyIkarashi, N. / Takeda, H. / Yako, K. / Hane, M. et al. | 2011
- 6.3.1
-
Time-dependent variability of high-k based MOS devices: Nanoscale characterization and inclusion in circuit simulatorsNafria, M. / Rodriguez, R. / Porti, M. / Martin-Martinez, J. / Lanza, M. / Aymerich, X. et al. | 2011
- 6.4.1
-
Unexpected failure during HBM ESD stress in nanometer-scale nLDMOS-SCR devicesChen, S.-H / Thijs, S. / Griffoni, A. / Linten, D. / De Keersgieter, A. / Groeseneken, G. et al. | 2011
- 6.5.1
-
Investigation of stress induced voiding and electromigration phenomena on direct copper bonding interconnects for 3D integrationTaibi, R. / Di Cioccio, L. / Chappaz, C. / Francou, M. / Dechamp, J. / Larre, P. / Moreau, S. / Chapelon, L.-L / Fortunier, R. et al. | 2011
- 6.6.1
-
High density 3D LSI technology using W/Cu hybrid TSVsMurugesan, M. / Kino, H. / Hashiguchi, A. / Miyazaki, C. / Shimamoto, H. / Kobayashi, H. / Fukushima, T. / Tanaka, T. / Koyanagi, M. et al. | 2011
- 7.1.1
-
3D copper TSV integration, testing and reliabilityFarooq, M. G. / Graves-Abe, T. L. / Landers, W. F. / Kothandaraman, C. / Himmel, B. A. / Andry, P. S. / Tsang, C. K. / Sprogis, E. / Volant, R. P. / Petrarca, K. S. et al. | 2011
- 7.2.1
-
Spatial variation of TSV capacitance and method of stabilization with Al2O3-induced negative fixed charge at the silicon-liner interfaceZhang, L. / Made, R. I. / Li, H. Y. / Gao, S. / Lo, G. Q. / Kwong, D. L. / Tan, C. S. et al. | 2011
- 7.3.1
-
Advances, challenges and opportunities in 3D CMOS sequential integrationBatude, P. / Vinet, M. / Previtali, B. / Tabone, C. / Xu, C. / Mazurier, J. / Weber, O. / Andrieu, F. / Tosti, L. / Brevard, L. et al. | 2011
- 7.4.1
-
Highly reliable BEOL-transistor with oxygen-controlled InGaZnO and Gate/Drain offset design for high/low voltage bridging I/O operationsKaneko, K. / Inoue, N. / Saito, S. / Furutake, N. / Sunamura, H. / Kawahara, J. / Hane, M. / Hayashi, Y. et al. | 2011
- 7.5.1
-
Carbon-based interconnect: Performance, scaling and reliability of 3D stacked multilayer graphene systemTianhua Yu, / Kim, E. / Jain, N. / Yang Xu, / Geer, R. / Bin Yu, et al. | 2011
- 7.6.1
-
A novel bottom-up Ag contact (30nm diameter and 6.5 aspect ratio) technology by electroplating for 1Xnm and beyond technologyChao-An Jong, / Po-Jung Sung, / Mei-Yi Lee, / Fu-Ju Hou, / Kehuey Wu, / Ying-Hao Su, / Bing-Mau Chen, / Chia-Wei Ho, / Ren-Jei Chung, / Yao-Jen Lee, et al. | 2011
- 7.7.1
-
SRAM, NAND, DRAM contact hole patterning using block copolymer directed self-assembly guided by small topographical templatesXin-Yu Bao, / He Yi, / Bencher, C. / Li-Wen Chang, / Huixiong Dai, / Yongmei Chen, / Chen, P.-T J. / Wong, H.-S P. et al. | 2011
- 8.1.1
-
Extremely-low-noise CMOS Image Sensor with high saturation capacityItonaga, K. / Mizuta, K. / Kataoka, T. / Yanagita, M. / Ikeda, H. / Ishiwata, H. / Tanaka, Y. / Wakano, T. / Matoba, Y. / Oishi, T. et al. | 2011
- 8.2.1
-
High performance 300mm backside illumination technology for continuous pixel shrinkageYaung, D. N. / Hsieh, B. C. / Wang, C. C. / Liu, J. C. / Wang, T. J. / Wang, W. D. / Chuang, C. C. / Chao, C. / Tu, Y. L. / Tsai, C. S. et al. | 2011
- 8.3.1
-
A 1.4µm front-side illuminated image sensor with novel light guiding structure consisting of stacked lightpipesWatanabe, H. / Hirai, J. / Katsuno, M. / Tachikawa, K. / Tsuji, S. / Kataoka, M. / Kawagishi, S. / Kubo, H. / Yano, H. / Suzuki, S. et al. | 2011
- 8.4.1
-
Investigation of Dark Current Random Telegraph Signal in Pinned PhotoDiode CMOS Image SensorsGoiffon, V. / Virmontois, C. / Magnan, P. et al. | 2011
- 8.5.1
-
A CMOS compatible Ge-on-Si APD operating in proportional and Geiger modes at infrared wavelengthsSammak, A. / Aminian, M. / Lin Qi, / de Boer, W. B. / Charbon, E. / Nanver, L. K. et al. | 2011
- 8.6.1
-
Enhanced angle sensitive pixels for light field imagingSivaramakrishnan, S. / Wang, A. / Gill, P. R. / Molnar, A. et al. | 2011
- 8.7.1
-
A 192×108 pixel ToF-3D image sensor with single-tap concentric-gate demodulation pixels in 0.13 µm technologyLee, T. Y. / Lee, Y. J. / Min, D. K. / Lee, S. H. / Kim, W. H. / Kim, S. H. / Jung, J. K. / Ovsiannikov, I. / Jin, Y. G. / Park, Y. D. et al. | 2011
- 9.1.1
-
A middle-1X nm NAND flash memory cell (M1X-NAND) with highly manufacturable integration technologiesJoowon Hwang, / Jihyun Seo, / Youngbok Lee, / Sungkee Park, / Jongsoon Leem, / Jaeseok Kim, / Tackseung Hong, / Seokho Jeong, / Kyeongbock Lee, / Hyeeun Heo, et al. | 2011
- 9.2.1
-
Scaling feasibility study of planar thin floating gate (FG) NAND Flash devices and size effect challenges beyond 20nmHang-Ting Lue, / Yi-Hsuan Hsiao, / Kuang-Yeu Hsieh, / Szu-Yu Wang, / Tahone Yang, / Kuang-Chao Chen, / Chih-Yuan Lu, et al. | 2011
- 9.3.1
-
A new disturb free programming scheme in scaled NAND Flash memoryShirota, R. / Chen-Hao Huang, / Arakawa, Hideki et al. | 2011
- 9.4.1
-
Embedded Flash technologies and their applications: Status & outlookStrenz, R. et al. | 2011
- 9.5.1
-
Scalability of split-gate charge trap memories down to 20nm for low-power embedded memoriesMasoero, L. / Molas, G. / Brun, F. / Gely, M. / Colonna, J. P. / Della Marca, V. / Cueto, O. / Nowak, E. / De Luca, A. / Brianceau, P. et al. | 2011
- 9.6.1
-
Abnormal VTH/VFB shift caused by as-grown mobile charges in Al2O3 and its impacts on Flash memory cell operationsTang, B. J. / Zhang, W. D. / Zhang, J. F. / Van den bosch, G. / Govoreanu, B. / Van Houdt, J. et al. | 2011
- 9.7.1
-
Demonstration of memory string with stacked junction-less SONOS realized on vertical silicon nanowireSun, Y. / Yu, H. Y. / Singh, N. / Leong, K. C. / Quek, E. / Lo, G. Q. / Kwong, D. L. et al. | 2011
- 10.1.1
-
Recent advances in thermoelectricsZebarjadi, M. / Gang Chen, et al. | 2011
- 10.2.1
-
Process challenges of MEMS harvesters and their effect on harvester performanceVullers, R. J. M. / van Schaijk, R. / Goedbloed, M. / Elfrink, R. / Wang, Z. / Van Hoof, C. et al. | 2011
- 10.3.1
-
Ultra low power microsystems using RF energy scavenging (invited)Flynn, Michael P. / Rhew, Ben Hyo Ghuem / Jeong, Jaehun / Fredenburg, Jeffrey A. et al. | 2011
- 10.4.1
-
Research in self-powered electronic systemsBuss, D. et al. | 2011
- 10.5.1
-
Energy harvesting and implantable medical devices - first order selection criteriaSchmidt, C. L. / Scott, E. R. et al. | 2011
- 11.1.1
-
Modeling all spin logic: Multi-magnet networks interacting via spin currentsSarkar, A. / Srinivasan, S. / Behin-Aein, Behtash / Datta, S. et al. | 2011
- 11.2.1
-
Ultimate device scaling: Intrinsic performance comparisons of carbon-based, InGaAs, and Si field-effect transistors for 5 nm gate lengthLuisier, M. / Lundstrom, M. / Antoniadis, D. A. / Bokor, J. et al. | 2011
- 11.3.1
-
Ferroelectric negative capacitance MOSFET: Capacitance tuning & antiferroelectric operationKhan, A. I. / Yeung, C. W. / Chenming Hu, / Salahuddin, S. et al. | 2011
- 11.4.1
-
Nanodevices in Flatland: Two-dimensional graphene-based transistors with high Ion/Ioff ratioFiori, G. / Betti, A. / Bruzzone, S. / D'Amico, P. / Iannaccone, G. et al. | 2011
- 11.5.1
-
Role of dissipative quantum transport in DC, RF, and self-heating characteristics of short channel graphene FETsYang Lu, / Jing Guo, et al. | 2011
- 11.6.1
-
Geometries and electronic structures of graphene adsorbed on SiO2 (0001) surfaces: The possibility of electronic structure tuning by an insulating substrateNguyen Thanh Cuong, / Otani, M. / Okada, S. et al. | 2011
- 11.7.1
-
Simulation of graphene nanoscale RF transistors including scattering and generation/recombination mechanismsPaussa, A. / Geromel, M. / Palestri, P. / Bresciani, M. / Esseni, D. / Selmi, L. et al. | 2011
- 12.1.1
-
Understanding the conduction and switching mechanism of metal oxide RRAM through low frequency noise and AC conductance measurement and analysisShimeng Yu, / Jeyasingh, R. / Yi Wu, / Wong, H.-S Philip et al. | 2011
- 12.2.1
-
Conducting mechanism of atom switch with polymer solid-electrolyteOkamoto, K. / Tada, M. / Sakamoto, T. / Miyamura, M. / Banno, N. / Iguchi, N. / Hada, H. et al. | 2011
- 12.3.1
-
Physical mechanisms of endurance degradation in TMO-RRAMChen, B. / Lu, Y. / Gao, B. / Fu, Y. H. / Zhang, F. F. / Huang, P. / Chen, Y. S. / Liu, L. F. / Liu, X. Y. / Kang, J. F. et al. | 2011
- 12.4.1
-
Statistical characterization of current paths in narrow poly-Si channelsDegraeve, R. / Toledano-Luque, M. / Suhane, A. / Van den Bosch, G. / Arreghini, A. / Tang, B. / Kaczer, B. / Roussel, Ph / Kar, G. S. / Van Houdt, J. et al. | 2011
- 12.5.1
-
Explore physical origins of resistance drift in phase change memory and its implication for drift-insensitive materialsJing Li, / Binquan Luan, / Hsu, T. H. / Zhu, Y. / Martyna, G. / Newns, D. / Cheng, H. Y. / Raoux, S. / Lung, H. L. / Lam, C. et al. | 2011
- 12.6.1
-
Reliability perspectives for high density PRAM manufacturingSu Jin Ahn, / Yoonjong Song, / Hoon Jeong, / Byeungchul Kim, / Youn-Seon Kang, / Dong-Ho Ahn, / Yongwoo Kwon, / Seok Woo Nam, / Gitae Jeong, / Hokyu Kang, et al. | 2011
- 13.1.1
-
Advancing CMOS beyond the Si roadmap with Ge and III/V devicesHeyns, M. / Alian, A. / Brammertz, G. / Caymax, M. / Chang, Y. C. / Chu, L. K. / De Jaeger, B. / Eneman, G. / Gencarelli, F. / Groeseneken, G. et al. | 2011
- 13.2.1
-
High transconductance self-aligned gate-last surface channel In0.53Ga0.47As MOSFETEgard, M. / Ohlsson, L. / Borg, B. M. / Lenrick, F. / Wallenberg, R. / Wernersson, L.-E / Lind, E. et al. | 2011
- 13.3.1
-
High drain current (>2A/mm) InGaAs channel MOSFET at VD=0.5V with shrinkage of channel length by InP anisotropic etchingYonai, Y. / Kanazawa, T. / Ikeda, S. / Miyamoto, Y. et al. | 2011
- 13.4.1
-
Enhancement technologies and physical understanding of electron mobility in III–V n-MOSFETs with strain and MOS interface buffer engineeringKim, S. H. / Yokoyama, M. / Taoka, N. / Nakane, R. / Yasuda, T. / Ichikawa, O. / Fukuhara, N. / Hata, M. / Takenaka, M. / Takagi, S. et al. | 2011
- 13.5.1
-
Performance enhancement of p-channel InGaAs quantum-well FETs by superposition of process-induced uniaxial strain and epitaxially-grown biaxial strainLing Xia, / Tokranov, V. / Oktyabrsky, S. R. / del Alamo, J. A. et al. | 2011
- 13.6.1
-
fT = 688 GHz and fmax = 800 GHz in Lg = 40 nm In0.7Ga0.3As MHEMTs with gm_max > 2.7 mS/µmDae-Hyun Kim, / Brar, B. / del Alamo, J. A. et al. | 2011
- 14.1.1
-
A novel Silicon-On-Quartz (SOQ) device for optical mobile applicationsNagata, T. / Kanemaru, H. / Ikegami, M. / Nagatomo, Y. / Nakamura, R. / Handa, M. / Uchibori, K. et al. | 2011
- 14.2.1
-
Highly sensitive and reliable X-ray detector with HgI2 photoconductor and oxide drive TFTSun Il Kim, / Sang Wook Kim, / Jae Chul Park, / Young Kim, / Sang Wook Han, / Ho Kyung Kim, / Chang Jung Kim, / U-In Chung, / In-Kyeong Yoo, / Kinam Kim, et al. | 2011
- 14.3.1
-
Dual gate photo-thin film transistor with high photoconductive gain for high reliability, and low noise flat panel transparent imagerSanghun Jeon, / Seung-Eon Ahn, / Ihun Song, / Yongwoo Jeon, / Young Kim, / Sangwook Kim, / Hyunsik Choi, / Hojung Kim, / Eunha Lee, / Sungsik Lee, et al. | 2011
- 14.4.1
-
Sheet-type organic active matrix amplifier system using Vth-tunable, pseudo-CMOS circuits with floating-gate structureYokota, T. / Sekitani, T. / Tokuhara, T. / Zschieschang, U. / Klauk, H. / Tsung-Ching Huang, / Takamiya, M. / Sakurai, T. / Someya, T. et al. | 2011
- 14.5.1
-
Single-grain Si TFTs using spin-coated liquid-siliconJin Zhang, / Ishihara, R. / Tagagishi, H. / Kawajiri, R. / Shimoda, T. / Beenakker, C. I. M. et al. | 2011
- 14.6.1
-
Temperature dependent electron transport in amorphous oxide semiconductor thin film transistorsSungsik Lee, / Nathan, A. / Robertson, J. / Ghaffarzadeh, K. / Pepper, M. / Sanghun Jeon, / Changjung Kim, / I-Hun Song, / U-In Chung, / Kinam Kim, et al. | 2011
- 14.7.1
-
A new candidate for high performance transparent electronic circuits: Sol-gel based SnO2/ZrO2 thin film transistorsJaewon Jang, / Kitsomboonloha, R. / Subramanian, V. et al. | 2011
- 15.1.1
-
Bulk planar 20nm high-k/metal gate CMOS technology platform for low power and high performance applicationsCho, H.-J / Seo, K.-I / Jeong, W. C. / Kim, Y.-H / Lim, Y. D. / Jang, W. W. / Hong, J. G. / Suk, S. D. / Li, M. / Ryou, C. et al. | 2011
- 15.2.1
-
Improving the energy/power constraint for technology optimizationFrank, D. J. / Chang, L. / Haensch, W. et al. | 2011
- 15.3.1
-
CMOS device design and optimization from a perspective of circuit-level energy-delay optimizationLan Wei, / Antoniadis, D. et al. | 2011
- 15.4.1
-
Technology roadmaps and low power SoC designYeric, G. et al. | 2011
- 15.5.1
-
Assessment of fully-depleted planar CMOS for low power complex circuit operationRen, Z. / Mehta, S. / Cai, J. / Wu, S. / Zhu, Y. / Kanarsky, T. / Kanakasabapathy, S. / Edge, L. F. / Zhang, R. / Lindo, P. et al. | 2011
- 15.6.1
-
Design-friendly scalability of cost-effective 28LP technology platform featuring 2nd generation gate-first HK/MG transistors without eSiGeFukutome, H. / Kim, D. H. / Hwang, S. M. / Jeong, L. G. / Kim, S. C. / Kim, J. C. / Nakamatsu, I. / Jung, M. K. / Lee, W. C. / Kim, Y. S. et al. | 2011
- 15.7.1
-
Technology-circuit convergence for full-SOC platform in 28 nm and beyondArnaud, F. / Colquhoun, S. / Mareau, A. L. / Kohler, S. / Jeannot, S. / Hasbani, F. / Paulin, R. / Cremer, S. / Charbuillet, C. / Druais, G. et al. | 2011
- 16.1.1
-
Ultra low power: Emerging devices and their benefits for integrated circuitsIonescu, A. M. / De Michielis, L. / Dagtekin, N. / Salvatore, G. / Ji Cao, / Rusu, A. / Bartsch, S. et al. | 2011
- 16.2.1
-
Self-depleted T-gate Schottky barrier tunneling FET with low average subthreshold slope and high ION/IOFF by gate configuration and barrier modulationQianqian Huang, / Zhan Zhan, / Ru Huang, / Xiang Mao, / Lijie Zhang, / Yingxin Qiu, / Yangyuan Wang, et al. | 2011
- 16.3.1
-
Complementary thin-base symmetric lateral bipolar transistors on SOIJin Cai, / Ning, T. H. / D'Emic, C. / Chan, K. K. / Haensch, W. E. / Jeng-Bang Yau, / Dae-Gyu Park, et al. | 2011
- 16.4.1
-
Experimental evidence of increased deformation potential at MOS interface and its impact on characteristics of ETSOI FETsOhashi, T. / Takahashi, T. / Beppu, N. / Oda, S. / Uchida, K. et al. | 2011
- 16.5.1
-
First demonstration of ultrathin body c-SiGe channel FDSOI pMOSFETs combined with SiGe(:B) RSD: Drastic improvement of electrostatics (Vth,p tuning, DIBL) and transport (μ0, Isat) properties down to 23nm gate lengthLe Royer, C. / Villalon, A. / Casse, M. / Cooper, D. / Mazurier, J. / Previtali, B. / Tabone, C. / Perreau, P. / Hartmann, J.-M / Scheiblin, P. et al. | 2011
- 16.6.1
-
GeSn technology: Extending the Ge electronics roadmapGupta, S. / Chen, R. / Magyari-Kope, B. / Hai Lin, / Bin Yang, / Nainani, A. / Nishi, Y. / Harris, J. S. / Saraswat, K. C. et al. | 2011
- 16.7.1
-
High-mobility germanium-tin (GeSn) P-channel MOSFETs featuring metallic source/drain and sub-370 °C process modulesGenquan Han, / Shaojian Su, / Chunlei Zhan, / Qian Zhou, / Yue Yang, / Lanxiang Wang, / Pengfei Guo, / Wang Wei, / Choun Pei Wong, / Ze Xiang Shen, et al. | 2011
- 17.1.1
-
Impact of atomistic doping and 3D electrostatics on the variability of RTN time constants in flash memoriesMauri, A. / Castellani, N. / Compagnoni, C. M. / Ghetti, A. / Cappelletti, P. / Spinelli, A. S. / Lacaita, A. L. et al. | 2011
- 17.2.1
-
Filamentary-switching model in RRAM for time, energy and scaling projectionsIelmini, D. et al. | 2011
- 17.3.1
-
On the stochastic nature of resistive switching in metal oxide RRAM: Physical modeling, monte carlo simulation, and experimental characterizationShimeng Yu, / Ximeng Guan, / Wong, H.-S Philip et al. | 2011
- 17.4.1
-
Oxide-based RRAM: Unified microscopic principle for both unipolar and bipolar switchingGao, B. / Kang, J. F. / Chen, Y. S. / Zhang, F. F. / Chen, B. / Huang, P. / Liu, L. F. / Liu, X. Y. / Wang, Y. Y. / Tran, X. A. et al. | 2011
- 17.5.1
-
Comprehensive physical modeling of forming and switching operations in HfO2 RRAM devicesVandelli, L. / Padovani, A. / Larcher, L. / Broglia, G. / Ori, G. / Montorsi, M. / Bersuker, G. / Pavan, P. et al. | 2011
- 17.6.1
-
Numerical analysis of domain wall propagation for dense memory arraysAugustine, C. / Raychowdhury, A. / Behin-Aein, Behtash / Srinivasan, S. / Tschanz, J. / De, V. K. / Roy, K. et al. | 2011
- 18.1.1
-
Impact of TDDB in MG/HK devices on circuit functionality in advanced CMOS technologiesKerber, A. / Lipp, D. / Trentzsch, M. / Linder, B. P. / Cartier, E. et al. | 2011
- 18.2.1
-
Influence of charge trapping on failure detection and its distributions for nFET high-κ stacksWu, E. Y. / Ioannou, D. P. / LaRow, C. B. et al. | 2011
- 18.3.1
-
Improved high-k/metal gate lifetime via improved SILC understanding and mitigationMinseok Jo, / Chang Young Kang, / Huang, Jeff / Bersuker, G. / Young, C. / Kirsch, P. / Jammy, R. et al. | 2011
- 18.4.1
-
Fundamental aspects of HfO2-based high-k metal gate stack reliability and implications on tinv-scalingCartier, E. / Kerber, A. / Ando, T. / Frank, M. M. / Choi, K. / Krishnan, S. / Linder, B. / Zhao, K. / Monsieur, F. / Stathis, J. et al. | 2011
- 18.5.1
-
Superior NBTI reliability of SiGe channel pMOSFETs: Replacement gate, FinFETs, and impact of Body BiasFranco, J. / Kaczer, B. / Eneman, G. / Roussel, P. J. / Grasser, T. / Mitard, J. / Ragnarsson, L.-A / Cho, M. / Witters, L. / Chiarella, T. et al. | 2011
- 18.6.1
-
Low frequency noise variability in high-k/metal gate stack 28nm bulk and FD-SOI CMOS transistorsIoannidis, E. G. / Haendler, S. / Bajolet, A. / Pahron, T. / Planes, N. / Arnaud, F. / Bianchi, R. A. / Haond, M. / Golanski, D. / Rosa, J. et al. | 2011
- 19.1.1
-
Deeply-scaled self-aligned-gate GaN DH-HEMTs with ultrahigh cutoff frequencyShinohara, K. / Regan, D. / Corrion, A. / Brown, D. / Burnham, S. / Willadsen, P. J. / Alvarado-Rodriguez, I. / Cunningham, M. / Butler, C. / Schmitz, A. et al. | 2011
- 19.2.1
-
Impact of GaN channel scaling in InAlN/GaN HEMTsDong Seup Lee, / Bin Lu, / Azize, M. / Xiang Gao, / Shiping Guo, / Kopp, D. / Fay, P. / Palacios, T. et al. | 2011
- 19.3.1
-
W-band power performance of AlGaN/GaN DHFETs with regrown n+ GaN ohmic contacts by MBEBrown, D. F. / Williams, A. / Shinohara, K. / Kurdoghlian, A. / Milosavljevic, I. / Hashimoto, P. / Grabar, R. / Burnham, S. / Butler, C. / Willadsen, P. et al. | 2011
- 19.4.1
-
Physics of fluorine plasma ion implantation for GaN normally-off HEMT technologyChen, K. J. / Yuan, L. / Wang, M. J. / Chen, H. / Huang, S. / Zhou, Q. / Zhou, C. / Li, B. K. / Wang, J. N. et al. | 2011
- 19.5.1
-
Electroluminescence analysis of time-dependent reverse-bias degradation of HEMTs: A complete modelMeneghini, M. / Stocco, A. / Bertin, M. / Ronchi, N. / Chini, A. / Marcon, D. / Meneghesso, G. / Zanoni, E. et al. | 2011
- 19.6.1
-
Si Trench Around Drain (STAD) technology of GaN-DHFETs on Si substrate for boosting power performanceSrivastava, P. / Oprins, H. / Van Hove, M. / Das, J. / Malinowski, P. E. / Bakeroot, B. / Marcon, D. / Visalli, D. / Kang, X. / Lenci, S. et al. | 2011
- 20.1.1
-
High Performance pMEMS™ oscillators - The next generation frequency referencesBhugra, H. / Ye Wang, / Wanling Pan, / Lei, D. / Seungbae Lee, et al. | 2011
- 20.2.1
-
Ovenized high frequency oscillators based on aluminum nitride contour-mode MEMS resonatorsTazzoli, A. / Rinaldi, M. / Piazza, G. et al. | 2011
- 20.3.1
-
Gallium nitride-on-silicon micromechanical overtone resonators and filtersAnsari, A. / Gokhale, V. J. / Thakar, V. A. / Roberts, J. / Rais-Zadeh, M. et al. | 2011
- 20.4.1
-
Platform for JFET-based sensing of RF MEMS resonators in CMOS technologyHwang, E. / Driscoll, A. / Bhave, S. A. et al. | 2011
- 20.5.1
-
Switchable wide tuning range bandstop filters for frequency-agile radiosZhengzheng Wu, / Yonghyun Shim, / Rais-Zadeh, M. et al. | 2011
- 20.6.1
-
A metal micromechanical resonant switch for on-chip power applicationsYang Lin, / Riekkinen, T. / Wei-Chang Li, / Alon, E. / Nguyen, C. T. et al. | 2011
- 23.1.1
-
Carbon nanotube electronics - Materials, devices, circuits, design, modeling, and performance projectionWong, H.-S P. / Mitra, S. / Akinwande, D. / Beasley, C. / Yang Chai, / Hong-Yu Chen, / Xiangyu Chen, / Close, G. / Jie Deng, / Hazeghi, A. et al. | 2011
- 23.2.1
-
Air-stable technique for fabricating n-type carbon nanotube FETsHai Wei, / Hong-Yu Chen, / Liyanage, L. / Wong, H-S P. / Mitra, S. et al. | 2011
- 23.3.1
-
High device yield carbon nanotube NFETs for high-performance logic applicationsShahrjerdi, D. / Franklin, A. D. / Oida, S. / Tulevski, G. S. / Shu-Jen Han, / Hannon, J. B. / Haensch, W. et al. | 2011
- 23.4.1
-
Systematic understanding of self-heating effects in tri-gate nanowire MOSFETs considering device geometry and carrier transportOta, K. / Saitoh, M. / Tanaka, C. / Nakabayashi, Y. / Numata, T. et al. | 2011
- 23.5.1
-
Comprehensive analysis of Ion variation in metal gate FinFETs for 20nm and beyondMatsukawa, T. / Liu, Y. / O'uchi, S. / Endo, K. / Tsukada, J. / Yamauchi, H. / Ishikawa, Y. / Ota, H. / Migita, S. / Morita, Y. et al. | 2011
- 23.6.1
-
New understanding of the statistics of random telegraph noise in Si nanowire transistors - the role of quantum confinement and non-stationary effectsChangze Liu, / Runsheng Wang, / Jibin Zou, / Ru Huang, / Chunhui Fan, / Lijie Zhang, / Jiewen Fan, / Yujie Ai, / Yangyuan Wang, et al. | 2011
- 23.7.1
-
Sub-10 nm carbon nanotube transistorFranklin, A. D. / Shu-Jen Han, / Tulevski, G. S. / Luisier, M. / Breslin, C. M. / Gignac, L. / Lundstrom, M. S. / Haensch, W. et al. | 2011
- 23.8.1
-
Record high RF performance for epitaxial graphene transistorsWu, Y. Q. / Farmer, D. B. / Valdes-Garcia, A. / Zhu, W. J. / Jenkins, K. A. / Dimitrakopoulos, C. / Avouris, Ph / Lin, Y.-M et al. | 2011
- 24.1.1
-
Extended scalability of perpendicular STT-MRAM towards sub-20nm MTJ nodeWoojin Kim, / Jeong, J. H. / Kim, Y. / Lim, W. C. / Kim, J. H. / Park, J. H. / Shin, H. J. / Park, Y. S. / Kim, K. S. / Park, S. H. et al. | 2011
- 24.2.1
-
Racetrack Memory: A high-performance, low-cost, non-volatile memory based on magnetic domain wallsThomas, L. / See-Hun Yang, / Kwang-Su Ryu, / Hughes, B. / Rettner, C. / Ding-Shuo Wang, / Ching-Hsiang Tsai, / Kuei-Hung Shen, / Parkin, Stuart S. P. et al. | 2011
- 24.3.1
-
Racetrack memory cell array with integrated magnetic tunnel junction readoutAnnunziata, A. J. / Gaidis, M. C. / Thomas, L. / Chien, C. W. / Hung, C. C. / Chevalier, P. / O'Sullivan, E. J. / Hummel, J. P. / Joseph, E. A. / Zhu, Y. et al. | 2011
- 24.4.1
-
High-density charge storage on molecular thin films - candidate materials for high storage capacity memory cellsPaydavosi, S. / Aidala, K. / Brown, P. R. / Hashemi, P. / Osedach, T. P. / Hoyt, J. L. / Bulovic, V. et al. | 2011
- 24.5.1
-
Ferroelectricity in hafnium oxide: CMOS compatible ferroelectric field effect transistorsBoscke, T. S. / Muller, J. / Brauhaus, D. / Schroder, U. / Bottger, U. et al. | 2011
- 24.6.1
-
Multi-functional universal device using a band-engineered vertical structureDong-Il Moon, / Jae-Sub Oh, / Sung-Jin Choi, / Sungho Kim, / Jee-Yeon Kim, / Moon-Seok Kim, / Young-Su Kim, / Min-Ho Kang, / Jeoung-Woo Kim, / Yang-Kyu Choi, et al. | 2011
- 24.7.1
-
A high-performance, high-density 28nm eDRAM technology with high-K/metal-gateHuang, K. C. / Ting, Y. W. / Chang, C. Y. / Tu, K. C. / Tzeng, K. C. / Chu, H. C. / Pai, C. Y. / Katoch, A. / Kuo, W. H. / Chen, K. W. et al. | 2011
- 25.1.1
-
Device-circuit interactions in extremely low voltage CMOS designs (invited)Fuketa, H. / Yasufuku, T. / Iida, S. / Takamiya, M. / Nomura, M. / Shinohara, H. / Sakurai, T. et al. | 2011
- 25.2.1
-
Measuring threshold voltage variability of 10G transistorsMizutani, T. / Kumar, A. / Hiramoto, T. et al. | 2011
- 25.3.1
-
Chip-level power-performance optimization through thermally-driven across-chip variation (ACV) reductionYu, X. / Gluschenkov, O. / Zamdmer, N. D. / Deng, J. / Goplen, B. A. / Landis, H. S. / Logan, L. R. / Culp, J. A. / Liang, Y. / Cai, M. et al. | 2011
- 25.4.1
-
Towards the systematic study of aging induced dynamic variability in nano-MOSFETs: Adding the missing cycle-to-cycle variation effects into device-to-device variationChangze Liu, / Jibin Zou, / Runsheng Wang, / Ru Huang, / Xiaoqing Xu, / Jinhua Liu, / Hanming Wu, / Yangyuan Wang, et al. | 2011
- 25.5.1
-
Drain current variability and MOSFET parameters correlations in planar FDSOI technologyMazurier, J. / Weber, O. / Andrieu, F. / Allain, F. / Tosti, L. / Brevard, L. / Rozeau, O. / Jaud, M.-A / Perreau, P. / Fenouillet-Beranger, C. et al. | 2011
- 25.6.1
-
New layout dependency in high-k/Metal Gate MOSFETsHamaguchi, M. / Nair, D. / Jaeger, D. / Nishimura, H. / Li, W. / Na, M. / Bernicot, C. / Liang, J. / Stahrenberg, K. / Kim, K. et al. | 2011
- 26.1.1
-
NexFET generation 2, new way to powerBoyi Yang, / Shuming Xu, / Korec, J. / Jun Wang, / Lopez, O. / Jauregui, D. / Kocon, C. / Herbsommer, J. / Molloy, S. / Daum, G. et al. | 2011
- 26.2.1
-
GaN-based multi-junction diode with low reverse leakage current using P-type barrier controlling layerShibata, D. / Kaibara, K. / Murata, T. / Yamada, Y. / Morita, T. / Anda, Y. / Ishida, M. / Ishida, H. / Ueda, T. / Tanaka, T. et al. | 2011
- 26.3.1
-
Photon-recycling GaN p-n diodes demonstrating temperature-independent, extremely low on-resistanceMochizuki, K. / Nomoto, K. / Hatakeyama, Y. / Katayose, H. / Mishima, T. / Kaneda, N. / Tsuchiya, T. / Terano, A. / Ishigaki, T. / Tsuchiya, R. et al. | 2011
- 26.4.1
-
Integrated power design platform based on modeling dynamic behavior of GaN devicesMizutani, K. / Ueno, H. / Kudoh, Y. / Nagai, S. / Inoue, K. / Otsuka, N. / Ueda, T. / Tanaka, T. / Ueda, D. et al. | 2011
- 26.5.1
-
High performance SiC trench devices with ultra-low ronNakamura, T. / Nakano, Y. / Aketa, M. / Nakamura, R. / Mitani, S. / Sakairi, H. / Yokotsuji, Y. et al. | 2011
- 26.6.1
-
Novel SiC power MOSFET with integrated unipolar internal inverse MOS-channel diodeUchida, M. / Horikawa, N. / Tanaka, K. / Takahashi, K. / Kiyosawa, T. / Hayashi, M. / Niwayama, M. / Kusumoto, O. / Adachi, K. / Kudou, C. et al. | 2011
- 27.1.1
-
Positive bias temperature instability degradation of InGaAs n-MOSFETs with Al2O3 gate dielectricJiao, G. F. / Cao, W. / Xuan, Y. / Huang, D. M. / Ye, P. D. / Li, M. F. et al. | 2011
- 27.2.1
-
Impact of Fermi level pinning inside conduction band on electron mobility of InxGa1−xAs MOSFETs and mobility enhancement by pinning modulationTaoka, N. / Yokoyama, M. / Kim, S. H. / Suzuki, R. / Iida, R. / Lee, S. / Hoshii, T. / Jevasuwan, W. / Maeda, T. / Yasuda, T. et al. | 2011
- 27.3.1
-
Understanding temperature acceleration for NBTIPobegen, G. / Aichinger, T. / Nelhiebel, M. / Grasser, T. et al. | 2011
- 27.4.1
-
Analytic modeling of the bias temperature instability using capture/emission time mapsGrasser, T. / Wagner, P. / Reisinger, H. / Aichinger, T. / Pobegen, G. / Nelhiebel, M. / Kaczer, B. et al. | 2011
- 27.5.1
-
Hot-carrier to cold-carrier device lifetime modeling with temperature for low power 40nm Si-bulk NMOS and PMOS FETsBravaix, A. / Huard, V. / Goguenheim, D. / Vincent, E. et al. | 2011
- 27.6.1
-
Silicide barrier engineering induced random telegraph noise in 1Xnm CMOS contactsMin-Cheng Chen, / Chia-Yi Lin, / Bo-Yuan Chen, / Chang-Hsien Lin, / Guo-Wei Huang, / Chien-Chao Huang, / ChiaHua Ho, / Tahui Wang, / Chenming Hu, / Fu-Liang Yang, et al. | 2011
- 27.7.1
-
Fundamental origin of excellent low-noise property in 3D Si-MOSFETs ∼ Impact of charge-centroid in the channel due to quantum effect on 1/f noise ∼Feng, W. / Hettiarachchi, R. / Lee, Y. / Sato, S. / Kakushima, K. / Sato, M. / Fukuda, K. / Niwa, M. / Yamabe, K. / Shiraishi, K. et al. | 2011
- 28.1.1
-
A manufacturable dual channel (Si and SiGe) high-k metal gate CMOS technology with multiple oxides for high performance and low power applicationsKrishnan, S. / Kwon, U. / Moumen, N. / Stoker, M. W. / Harley, E. C. T. / Bedell, S. / Nair, D. / Greene, B. / Henson, W. / Chowdhury, M. et al. | 2011
- 28.2.1
-
ALD beryllium oxide: Novel barrier layer for high performance gate stacks on Si and high mobility substratesYum, J. H. / Bersuker, G. / Ferrer, D. A. / Akyol, T. / Lei, M. / Park, K. W. / Hudnall, T. W. / Downer, M. C. / Bielawski, C. W. / Yu, E. T. et al. | 2011
- 28.3.1
-
1-nm-thick EOT high mobility Ge n- and p-MOSFETs with ultrathin GeOx/Ge MOS interfaces fabricated by plasma post oxidationRui Zhang, / Taoka, N. / Po-Chin Huang, / Takenaka, M. / Takagi, S. et al. | 2011
- 28.4.1
-
Material potential and scalability challenges of germanium CMOSToriumi, A. / Lee, C. H. / Wang, S. K. / Tabata, T. / Yoshida, M. / Zhao, D. D. / Nishimura, T. / Kita, K. / Nagashio, K. et al. | 2011
- 28.5.1
-
A novel atomic layer oxidation technique for EOT scaling in gate-last high-к/metal gate CMOS technologyMin Dai, / Jinping Liu, / Guo, Dechao / Krishnan, S. / Shepard, J. F. / Ronsheim, P. / Unoh Kwon, / Siddiqui, S. / Krishnan, R. / Zhengwen Li, et al. | 2011
- 28.6.1
-
Dual-channel technology with cap-free single metal gate for high performance CMOS in gate-first and gate-last integrationWitters, L. / Mitard, J. / Veloso, A. / Hikavyy, A. / Franco, J. / Kauerauf, T. / Cho, M. / Schram, T. / Sebai, F. / Yamaguchi, S. et al. | 2011
- 28.7.1
-
Experimental and theoretical study of electrode effects in HfO2 based RRAMCagli, C. / Buckley, J. / Jousseaume, V. / Cabout, T. / Salaun, A. / Grampeix, H. / Nodin, J. F. / Feldis, H. / Persico, A. / Cluzel, J. et al. | 2011
- 29.1.1
-
Tri-axial MEMS gyroscopes and Six Degree-Of-Freedom Motion SensorsVigna, B. et al. | 2011
- 29.2.1
-
Inkjet-printed micro-electro-mechanical switchesEung Seok Park, / Yenhao Chen, / Tsu-Jae King Liu, / Subramanian, Vivek et al. | 2011
- 29.3.1
-
VLSI silicon multi-gas analyzer coupling gas chromatography and NEMS detectorsArcamone, J. / Niel, A. / Gouttenoire, V. / Petitjean, M. / David, N. / Barattin, R. / Matheron, M. / Ricoul, F. / Bordy, T. / Blanc, H. et al. | 2011
- 29.4.1
-
Micro-hydraulic structure for high performance bio-mimetic air flow sensor arraysSadeghi, M. M. / Peterson, R. L. / Najafi, K. et al. | 2011
- 29.5.1
-
Shock induced energy harvesting with a MEMS harvester for automotive applicationsElfrink, R. / Matova, S. / de Nooijer, C. / Jambunathan, M. / Goedbloed, M. / van de Molengraft, J. / Pop, V. / Vullers, R. J. M. / Renaud, M. / van Schaijk, R. et al. | 2011
- 29.6.1
-
A MEMS-based wideband piezoelectric energy harvester system using mechanical stoppersHuicong Liu, / Chengkuo Lee, / Kobayashi, T. / Tay, C. J. / Chenggen Quan, et al. | 2011
- 30.1.1
-
The present status and the future of the atomic switchAono, M. / Hasegawa, T. et al. | 2011
- 30.2.1
-
Highly reliable, complementary atom switch (CAS) with low programming voltage embedded in Cu BEOL for Nonvolatile Programmable LogicTada, M. / Sakamoto, T. / Miyamura, M. / Banno, N. / Okamoto, K. / Iguchi, N. / Nohisa, T. / Hada, H. et al. | 2011
- 30.3.1
-
Energy efficient programming of nanoelectronic synaptic devices for large-scale implementation of associative and temporal sequence learningDuygu Kuzum, / Jeyasingh, Rakesh G. D. / Wong, H.-S Philip et al. | 2011
- 30.4.1
-
Quantum transport in deterministically implanted single-donors in Si FETsShinada, T. / Hori, M. / Guagliardo, F. / Ferrari, G. / Komatubara, A. / Kumagai, K. / Tanii, T. / Endo, T. / Ono, Y. / Prati, E. et al. | 2011
- 30.5.1
-
Integration of nanoelectromechanical (NEM) relays with silicon CMOS with functional CMOS-NEM circuitSoogine Chong, / Byoungil Lee, / Parizi, K. B. / Provine, J. / Mitra, S. / Howe, R. T. / Wong, H. P. et al. | 2011
- 30.6.1
-
Experimental demonstration and analysis of DNA passage in nanopore-based nanofluidic transistorsKee-Hyun Paik, / Yang Liu, / Tabard-Cossa, V. / Huber, D. E. / Provine, J. / Howe, R. T. / Davis, R. W. / Dutton, R. W. et al. | 2011
- 31.1.1
-
Complementary switching in metal oxides: Toward diode-less crossbar RRAMsNardi, F. / Balatti, S. / Larentis, S. / Ielmini, D. et al. | 2011
- 31.2.1
-
Self-rectifying and forming-free unipolar HfOx based-high performance RRAM built by fab-avaialbe materialsTran, X. A. / Gao, B. / Kang, J. F. / Wu, X. / Wu, L. / Fang, Z. / Wang, Z. R. / Pey, K. L. / Yeo, Y. C. / Du, A. Y. et al. | 2011
- 31.3.1
-
Challenges and opportunities for HfOX based resistive random access memoryChen, Y. S. / Lee, H. Y. / Chen, P. S. / Tsai, C. H. / Gu, P. Y. / Wu, T. Y. / Tsai, K. H. / Sheu, S. S. / Lin, W. P. / Lin, C. H. et al. | 2011
- 31.4.1
-
Demonstration of high-density ReRAM ensuring 10-year retention at 85°C based on a newly developed reliability modelWei, Z. / Takagi, T. / Kanzawa, Y. / Katoh, Y. / Ninomiya, T. / Kawai, K. / Muraoka, S. / Mitani, S. / Katayama, K. / Fujii, S. et al. | 2011
- 31.5.1
-
Multi-level 40nm WOX resistive memory with excellent reliabilityWei-Chih Chien, / Ming-Hsiu Lee, / Feng-Ming Lee, / Yu-Yu Lin, / Hsiang-Lan Lung, / Kuang-Yeu Hsieh, / Chih-Yuan Lu, et al. | 2011
- 31.6.1
-
10×10nm2 Hf/HfOx crossbar resistive RAM with excellent performance, reliability and low-energy operationGovoreanu, B. / Kar, G. S. / Chen, Y. / Paraschiv, V. / Kubicek, S. / Fantini, A. / Radu, I. P. / Goux, L. / Clima, S. / Degraeve, R. et al. | 2011
- 31.7.1
-
One selector-one resistor (1S1R) crossbar array for high-density flexible memory applicationsJiun-Jia Huang, / Yi-Ming Tseng, / Wun-Cheng Luo, / Chung-Wei Hsu, / Tuo-Hung Hou, et al. | 2011
- 31.8.1
-
Realization of vertical resistive memory (VRRAM) using cost effective 3D processBaek, I. G. / Park, C. J. / Ju, H. / Seong, D. J. / Ahn, H. S. / Kim, J. H. / Yang, M. K. / Song, S. H. / Kim, E. M. / Park, S. O. et al. | 2011
- 32.1.1
-
Dynamic behavior of SRAM data retention and a novel transient voltage collapse technique for 0.6V 32nm LP SRAMYih Wang, / Karl, E. / Meterelliyoz, M. / Hamzaoglu, F. / Yong-Gee Ng, / Ghosh, S. / Liqiong Wei, / Bhattacharya, U. / Zhang, K. et al. | 2011
- 32.2.1
-
Evaluation methodology for random telegraph noise effects in SRAM arraysYamaoka, M. / Miki, H. / Bansal, A. / Wu, S. / Frank, D. J. / Leobandung, E. / Torii, K. et al. | 2011
- 32.3.1
-
Advanced channel engineering achieving aggressive reduction of VT variation for ultra-low-power applicationsFujita, K. / Torii, Y. / Hori, M. / Oh, J. / Shifren, L. / Ranade, P. / Nakagawa, M. / Okabe, K. / Miyake, T. / Ohkoshi, K. et al. | 2011
- 32.4.1
-
Comprehensive analysis of UTB GeOI logic circuits and 6T SRAM cells considering variability and temperature sensitivityHu, Vita Pi-Ho / Ming-Long Fan, / Pin Su, / Ching-Te Chuang, et al. | 2011
- 32.5.1
-
Exploration of device-circuit interactions in FinFET-based memories for sub-15nm technologies using a mixed mode quantum simulation framework: Atoms to systemsGupta, S. K. / Choday, S. H. / Roy, K. et al. | 2011
- 32.6.1
-
Correlative analysis between characteristics of 30-nm LG FinFETs and SRAM performanceEndo, K. / O'uchi, S. / Ishikawa, Y. / Yongxun Liu, / Matsukawa, T. / Sakamoto, K. / Tsukada, J. / Yamauchi, H. / Masahara, M. et al. | 2011
- 33.1.1
-
Electrostatics improvement in 3-D tri-gate over ultra-thin body planar InGaAs quantum well field effect transistors with high-K gate dielectric and scaled gate-to-drain/gate-to-source separationRadosavljevic, M. / Dewey, G. / Basu, D. / Boardman, J. / Chu-Kung, B. / Fastenau, J. M. / Kabehie, S. / Kavalieros, J. / Le, V. / Liu, W. K. et al. | 2011
- 33.2.1
-
First experimental demonstration of gate-all-around III–V MOSFETs by top-down approachGu, J. J. / Liu, Y. Q. / Wu, Y. Q. / Colby, R. / Gordon, R. G. / Ye, P. D. et al. | 2011
- 33.3.1
-
Vertical In0.7Ga0.3As nanowire surrounding-gate transistors with high-k gate dielectric on Si substrateTomioka, K. / Yoshimura, M. / Fukui, T. et al. | 2011
- 33.4.1
-
Schottky source/drain Al2O3/InAlN/GaN MIS-HEMT with steep sub-threshold swing and high ON/OFF current ratioQi Zhou, / Sen Huang, / Hongwei Chen, / Chunhua Zhou, / Zhihong Feng, / Shujun Cai, / Chen, K. J. et al. | 2011
- 33.5.1
-
Demonstration of MOSFET-like on-current performance in arsenide/antimonide tunnel FETs with staggered hetero-junctions for 300mV logic applicationsMohata, D. K. / Bijesh, R. / Mujumdar, S. / Eaton, C. / Engel-Herbert, R. / Mayer, T. / Narayanan, V. / Fastenau, J. M. / Loubychev, D. / Liu, A. K. et al. | 2011
- 33.6.1
-
Fabrication, characterization, and physics of III–V heterojunction tunneling Field Effect Transistors (H-TFET) for steep sub-threshold swingDewey, G. / Chu-Kung, B. / Boardman, J. / Fastenau, J. M. / Kavalieros, J. / Kotlyar, R. / Liu, W. K. / Lubyshev, D. / Metz, M. / Mukherjee, N. et al. | 2011
- 34.1.1
-
On the feasibility of spherical harmonics expansions of the Boltzmann transport equation for three-dimensional device geometriesRupp, K. / Grasser, T. / Jungel, A. et al. | 2011
- 34.2.1
-
Large-signal mm-wave InAlN/GaN HEMT power amplifier characterization through self-consistent Harmonic Balance / Cellular Monte Carlo device simulationGuerra, D. / Marino, F. A. / Ferry, D. K. / Goodnick, S. M. / Saraniti, M. / Soligo, R. et al. | 2011
- 34.3.1
-
Analysis of dopant diffusion and defects in SiGe channel Quantum Well for Laser annealed device using an atomistic kinetic Monte Carlo approachNoda, T. / Witters, L. / Mitard, J. / Rosseel, E. / Hellings, G. / Vrancken, C. / Bender, H. / Hoffmann, T. Y. / Horiguchi, N. / Vandervorst, W. et al. | 2011
- 34.4.1
-
Modeling of NMOS performance gains from edge dislocation stressWeber, C. E. / Cea, S. M. / Deshpande, H. / Golonzka, O. / Liu, M. Y. et al. | 2011
- 34.5.1
-
Impact of back end stress on SiGe bipolar transistorsRucker, H. / Heinemann, B. / Barth, R. / Lisker, M. et al. | 2011
- 34.6.1
-
Thermal-aware device design of nanoscale bulk/SOI FinFETs: Suppression of operation temperature and its variabilityTakahashi, T. / Beppu, N. / Chen, K. / Oda, S. / Uchida, K. et al. | 2011
- 34.7.1
-
Hardware-assisted 3D TCAD for predictive capacitance extraction in 32nm SOI SRAMsBhoj, A. N. / Joshi, R. V. / Polonsky, S. / Kanj, R. / Saroop, S. / Tan, Y. / Jha, N. K. et al. | 2011
- 34.8.1
-
Compact capacitance and capacitive coupling-noise modeling of Through-Oxide Vias in FDSOI based ultra-high density 3-D ICsChuan Xu, / Banerjee, K. et al. | 2011
- 35.1.1
-
MOVPE III–V material growth on silicon substrates and its comparison to MBE for future high performance and low power logic applicationsMukherjee, N. / Boardman, J. / Chu-Kung, B. / Dewey, G. / Eisenbach, A. / Fastenau, J. / Kavalieros, J. / Liu, W. K. / Lubyshev, D. / Metz, M. et al. | 2011
- 35.2.1
-
Nearly defect-free Ge gate-all-around FETs on Si substratesShu-Han Hsu, / Chun-Lin Chu, / Wen-Hsien Tu, / Yen-Chun Fu, / Po-Jung Sung, / Hung-Chih Chang, / Yen-Ting Chen, / Li-Yaw Cho, / Hsu, W. / Guang-Li Luo, et al. | 2011
- 35.3.1
-
High performance Si.45Ge.55 Implant Free Quantum Well FET featuring low temperature process, eSiGe stressor and transversal strain relaxationYamaguchi, S. / Witters, L. / Mitard, J. / Eneman, G. / Hellings, G. / Fukuda, M. / Hikavyy, A. / Loo, R. / Veloso, A. / Crabbe, Y. et al. | 2011
- 35.4.1
-
A new Ge2Sb2Te5 (GST) liner stressor featuring stress enhancement due to amorphous-crystalline phase change for sub-20 nm p-channel FinFETsYinjie Ding, / Ran Cheng, / Shao-Ming Koh, / Bin Liu, / Gyanathan, A. / Qian Zhou, / Yi Tong, / Lim, P. S-Y / Genquan Han, / Yee-Chia Yeo, et al. | 2011
- 35.5.1
-
300mm FinFET results utilizing conformal, damage free, ultra shallow junctions (Xj∼5nm) formed with molecular monolayer doping techniqueAng, K.-W / Barnett, J. / Loh, W.-Y / Huang, J. / Min, B.-G / Hung, P. Y. / Ok, I. / Yum, J. H. / Bersuker, G. / Rodgers, M. et al. | 2011
- 35.6.1
-
High performance n-MOS finFET by damage-free, conformal extension dopingZschatzsch, G. / Sasaki, Y. / Hayashi, S. / Togo, M. / Chiarella, T. / Kambham, A. K. / Mody, J. / Douhard, B. / Horiguchi, N. / Mizuno, B. et al. | 2011
- 35.7.1
-
Novel technique to engineer aluminum profile at nickel-silicide/Silicon:Carbon interface for contact resistance reduction, and integration in strained N-MOSFETs with silicon-carbon stressorsShao-Ming Koh, / Qian Zhou, / Thanigaivelan, T. / Henry, T. / Samudra, G. S. / Yee-Chia Yeo, et al. | 2011
- 36.1.1
-
Automated single molecule selectionCipriany, B. R. / Craighead, H. G. et al. | 2011
- 36.2.1
-
CMOS-based biosensors with an independent double-gate FinFETJae-Hyuk Ahn, / Jee-Yeon Kim, / Cheulhee Jung, / Dong-Il Moon, / Sung-Jin Choi, / Chang-Hoon Kim, / Kyung-Bok Lee, / Hyun Gyu Park, / Yang-Kyu Choi, et al. | 2011
- 36.3.1
-
High efficiency radial-junction Si nanohole solar cells formed by self-assembling high aspect ratio plasma etchingHua-Min Li, / Dae-Yeong Lee, / Yeong-Dae Lim, / Cheng Yang, / Gang Zhang, / HyunJin Kim, / SeungNam Cha, / Jong Min Kim, / Won Jong Yoo, et al. | 2011
- 36.4.1
-
Si/Si1−xGex nanopillar superlattice solar cell: A novel nanostructured solar cell for overcoming the Shockley-Queisser limitWatanabe, K. / Tsuchiya, R. / Oda, K. / Yamamoto, J. / Hattori, T. / Matsumura, M. / Kudo, M. / Torii, K. et al. | 2011
- 36.5.1
-
Bifacial CIGS (11% efficiency)/Si solar cells by Cd-free and sodium-free green process integrated with CIGS TFTsYu-Jen Hsiao, / Ting-Jen Hsueh, / Jia-Min Shieh, / Yu-Ming Yeh, / Chien-Chih Wang, / Bau-Tong Dai, / Wen-Wei Hsu, / Jing-Yi Lin, / Chang-Hong Shen, / Liu, C. W. et al. | 2011
- 36.6.1
-
Novel heterojunction solar cells with conversion efficiencies approaching 21% on p-type crystalline silicon substratesHekmatshoar, B. / Shahrjerdi, D. / Sadana, D. K. et al. | 2011