Distributed hardware-in-the-loop simulator for autonomous continuous dynamical systems with spatially constrained interactions (Englisch)
- Neue Suche nach: Papp, Z.
- Neue Suche nach: Dorrepaal, M.
- Neue Suche nach: Verburg, D.J.
- Neue Suche nach: Papp, Z.
- Neue Suche nach: Dorrepaal, M.
- Neue Suche nach: Verburg, D.J.
-
ISBN:
- Aufsatz (Konferenz) / Print
-
Titel:Distributed hardware-in-the-loop simulator for autonomous continuous dynamical systems with spatially constrained interactions
-
Beteiligte:
-
Erschienen in:
-
Verlag:
- Neue Suche nach: IEEE Computer Society Press
-
Erscheinungsort:Los Alamitos
-
Erscheinungsdatum:2003
-
Format / Umfang:Seiten, 18 Quellen
-
Anmerkungen:pp.
-
ISBN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
PDSECA keynote presentationSunderam, V. et al. | 2003
- 1 pp.
-
Current trends in high performance parallel and distributed computingSunderam, V. et al. | 2003
- 1 pp.
-
Clusters: challenges and opportunitiesReed, D.A. et al. | 2003
- 1 pp.
-
Is there exploitable thread-level parallelism in general-purpose application programs?Pen-Chung Yew, et al. | 2003
- 2 pp.
-
Parallel vision processing and dedicated parallel architecturesPissaloux, E. et al. | 2003
- 4 pp.
-
Adaptive fault tolerant systems: reflective design and validationKillijian, M.-O. / Fabre, J.-C. et al. | 2003
- 4 pp.
-
An optically differential reconfigurable gate array with a dynamic reconfiguration circuitWatanabe, M. / Kobayashi, F. et al. | 2003
- 4 pp.
-
Parallel algorithms to find the Voronoi diagram and the order-k Voronoi diagramTrefftz, C. / Szakas, J. et al. | 2003
- 4 pp.
-
A self-stabilizing distributed algorithm for minimal total domination in an arbitrary system graphGoddard, W. / Hedetniemi, S.T. / Jacobs, D.P. / Srimani, P.K. et al. | 2003
- 4 pp.
-
Implementing and optimizing real-time JavaCorsaro, A. / Cytron, R.K. et al. | 2003
- 5 pp.
-
Time series forecasting using massively parallel genetic programmingEklund, S.E. et al. | 2003
- 5 pp.
-
A programmable and highly pipelined PPP architecture for Gigabit IP over SDH/SONETToal, C. / Sezer, S. et al. | 2003
- 5 pp.
-
An accurate and efficient parallel genetic algorithm to schedule tasks on a clusterMoore, M. et al. | 2003
- 5 pp.
-
Static workload balance scheduling; continuous caseTabirca, S. / Tabirca, T. / Freeman, L. / Yang, L.T. et al. | 2003
- 5 pp.
-
An FPGA implementation of a flexible, parallel image processing architecture suitable for embedded vision systemsMcBader, S. / Lee, P. et al. | 2003
- 5 pp.
-
High performance missing data detection and interpolation for video compression and restoration applicationsCeccarelli, M. / Laccetti, G. / Petrosino, A. et al. | 2003
- 5 pp.
-
Web objects: towards a Web based model of distributed computingRoe, P. et al. | 2003
- 5 pp.
-
The unlinkability of randomization-enhanced Chaum's blind signature schemeZichen Li, et al. | 2003
- 5 pp.
-
Applying optical reconfiguration on ATM switch fabricsLaskaridis, H.S. / Papadimitriou, G.I. / Pornportsis, A.S. et al. | 2003
- 5 pp.
-
A reconfigurable message oriented middleware architectureBottcher, S. / Dannewitz, C. et al. | 2003
- 5 pp.
-
A new reconfigurable architecture for single cycle context switchingHutter, M. / Bock, H. / Scheppler, M. et al. | 2003
- 5 pp.
-
Pattern formation in enzyme inhibition and cooperativity with cellular automataXin-She Yang, et al. | 2003
- 6 pp.
-
RMIX: a multiprotocol RMI framework for JavaKurzyniec, D. / Wrzosek, T. / Sunderam, V. / Slominski, A. et al. | 2003
- 6 pp.
-
Parallel LAN/WAN heuristics for optimizationAlba, E. / Luque, G. et al. | 2003
- 6 pp.
-
Semi-structured portable library for multiprocessor serversTsilikas, G. / Fleury, M. et al. | 2003
- 6 pp.
-
Experiences and lessons learned with a portable interface to hardware performance countersDongarra, J. / London, K. / Moore, S. / Mucci, P. / Terpstra, D. / Haihang You, / Min Zhou, et al. | 2003
- 6 pp.
-
The first approximated distributed algorithm for the minimum degree spanning tree problem on general graphsBlin, L. / Butelle, F. et al. | 2003
- 6 pp.
-
A P2P approach for global computingWen Dou, / Yan Jia, / Huai Ming Wang, / Wen Qiang Song, / Peng Zou, et al. | 2003
- 6 pp.
-
Partitioning with space-filling curves on the cubed-sphereDennis, J.M. et al. | 2003
- 6 pp.
-
Content networks: distributed routing decisions in presence of repeated queriesCiglaric, M. / Vidmar, T. / Trampus, M. / Pancur, M. et al. | 2003
- 6 pp.
-
Logarithmic arithmetic for real data types and support for Matlab/Simulink based rapid-FPGA-prototypingPohl, Z. / Schier, J. / Licko, M. / Hermanek, A. / Tichy, M. / Matousek, R. / Kadlec, J. et al. | 2003
- 6 pp.
-
Management of peer-to-peer systemsCiglaric, M. / Vidmar, T. et al. | 2003
- 6 pp.
-
Selective optimization of locks by runtime statistics and just-in-time compilationOdaira, R. / Hiraki, K. et al. | 2003
- 6 pp.
-
Reconfigurable architecture requirements for co-designed virtual machinesKent, K.B. / Serra, M. et al. | 2003
- 6 pp.
-
Design and implementation of a configurable platform for embedded communication systemsSubramanian, V. / Tront, J.G. / Bostian, C.W. / Midkiff, S.F. et al. | 2003
- 6 pp.
-
A service for remote model reduction of very large linear systemsBenner, P. / Mayo, R. / Quintana-Orti, E.S. / Quintana-Orti, G. et al. | 2003
- 6 pp.
-
Parallelisation of IBD computation for determining genetic disease mapRizk, N.J. et al. | 2003
- 6 pp.
-
Mapping of DSP algorithms on the MONTIUM architectureHeysters, P.M. / Smit, G.J.M. et al. | 2003
- 6 pp.
-
Use of the parallel port to measure MPI intertask communication costs in COTS PC clustersHaridasan, M. / Pfitscher, G.H. et al. | 2003
- 6 pp.
-
A high performance VLIW processor for finite field arithmeticGrabbe, C. / Bednara, M. / von zur Gathen, / Shokrollahi, J. / Teich, J. et al. | 2003
- 6 pp.
-
Swarm optimisation as a new tool for data miningSousa, T. / Neves, A. / Silva, A. et al. | 2003
- 6 pp.
-
An integrated resource scheduling approach on cluster computing systemsAbawajy, J.H. et al. | 2003
- 6 pp.
-
Characterizing Java/spl trade/ application performanceLashari, G. / Srinivas, S. et al. | 2003
- 6 pp.
-
Reconfigurable processor architectures for mobile phonesVorbach, M. / Becker, R. et al. | 2003
- 6 pp.
-
CORBA benchmarking: a course with hidden obstaclesBuble, A. / Bulej, L. / Tuma, P. et al. | 2003
- 6 pp.
-
The applicability of social models for self-organizing real-time systemsDazhang Gu, / Welch, L.R. / Bruggeman, C. / Shelly, R. et al. | 2003
- 6 pp.
-
Performance and scalability of the NAS parallel benchmarks in JavaFrumkin, M.A. / Schultz, M. / Haoqiang Jin, / Yan, J. et al. | 2003
- 6 pp.
-
An efficient scaling-simulation algorithm of reconfigurable meshes by meshes with partitioned busesMatsumae, S. et al. | 2003
- 6 pp.
-
Failure margin and performance degradation in "F-Cycle ring" networksAvresky, D. / Natchev, N. et al. | 2003
- 7 pp.
-
Performing DNA comparison on a bio-inspired tissue of FPGAsCanella, M. / Miglioli, F. et al. | 2003
- 7 pp.
-
Heterogeneous access to service-based distributed computing: the RMIX approachKurzyniec, D. / Wrzosek, T. / Sunderam, V. et al. | 2003
- 7 pp.
-
A performance analysis of 4X InfiniBand data transfer operationsCohen, A. et al. | 2003
- 7 pp.
-
Gigapixel-size real-time interactive image processing with parallel computersJones, D.R. / Jurrus, E.R. / Moon, B.D. / Perrine, K.A. et al. | 2003
- 7 pp.
-
QoS multicast routing in networks with uncertain parameterLayuan, L. / Chunlin, L. et al. | 2003
- 7 pp.
-
Sub optimal scheduling in a GRID using genetic algorithmsDi Martino, V. et al. | 2003
- 7 pp.
-
Modeling parallel applications performance on heterogeneous systemsAl-Jaroodi, J. / Mohamed, N. / Hong Jiang, / Swanson, D. et al. | 2003
- 7 pp.
-
Self-adapting, self-optimizing runtime management of Grid applications using PRAGMAZhu, H. / Parashar, M. / Yang, J. / Zhang, Y. / Rao, S. / Hariri, S. et al. | 2003
- 7 pp.
-
An approach for mixed coarse-granular and fine-granular re-configurable architecturesHenftling, R. / Ecker, W. / Zinn, A. / Zambaldi, M. / Bauer, M. et al. | 2003
- 7 pp.
-
An architecture for distributed applications on the Internet: overview of Microsoft's .NET platform| 2003
- 7 pp.
-
Transparent distributed threads for JavaHaumacher, B. / Moschny, T. / Reuter, J. / Tichy, W.F. et al. | 2003
- 7 pp.
-
Using Java for plasma PIC simulationsQuanming Lu, / Getov, V. / Shu Wang, et al. | 2003
- 7 pp.
-
A study of influencing factors for repurchase intention in Internet shopping mallsIn-Keun Chung, / Myung-Moo Lee, et al. | 2003
- 7 pp.
-
Dependability analysis: a new application for run-time reconfigurationLeveugle, R. / Antoni, L. / Feher, B. et al. | 2003
- 7 pp.
-
Efficient FPGA implementation of block cipher MISTY1Rouvroy, G. / Standaert, F.-X. / Quisquater, J.-J. / Legat, J.-D. et al. | 2003
- 7 pp.
-
A probabilistic approach to fault tolerant broadcast routing algorithms on mesh networksGaoCai Wang, / Jianer Chen, / Guojun Wang, et al. | 2003
- 7 pp.
-
Scheduling to minimize flow time metricsBecchetti, L. / Leonardi, S. / Marchetti-Spaccamela, A. / Schafer, G. et al. | 2003
- 7 pp.
-
Dynamic grid-based vs. region-based data distribution management strategies for large-scale distributed systemsBoukerche, A. / Dzermajko, C. et al. | 2003
- 7 pp.
-
Parallel adaptive quantum trajectory method for wavepacket simulationsCarino, R.L. / Banicescu, I. / Vadapalli, R.K. / Weatherford, C.A. / Jianping Zhu, et al. | 2003
- 7 pp.
-
Master-slave tasking on heterogeneous processorsDutot, P.-F. et al. | 2003
- 7 pp.
-
SCIMPS: an integrated approach to distributed processing in sensor websAndrews, D. / Evans, J. / Mangipudi, V. / Mandapaka, A. et al. | 2003
- 7 pp.
-
Wrapping legacy codes for Grid-based applicationsYan Huang, / Taylor, I. / Walker, D.W. / Davies, R. et al. | 2003
- 7 pp.
-
Designing an operating system for a heterogeneous reconfigurable SoCNollet, V. / Coene, P. / Verkest, D. / Vernalde, S. / Lauwereins, R. et al. | 2003
- 7 pp.
-
Modelling programmable logic devices and reconfigurable, microprocessor-related architecturesSiemers, C. / Winterstein, V. et al. | 2003
- 7 pp.
-
Performance properties of combined heterogeneous networksMohamed, N. / Al-Jaroodi, J. / Hong Jiang, / Swanson, D. et al. | 2003
- 7 pp.
-
Concurrent bug patterns and how to test themFarchi, E. / Nir, Y. / Ur, S. et al. | 2003
- 7 pp.
-
Parallel algorithm based on a frequential decomposition for dynamic 3D computed tomographyRodet, T. / Desbat, L. / Grangeat, P. et al. | 2003
- 7 pp.
-
Cache pollution in Web proxy serversAyani, R. / Yong Meng Teo, / Yean Seen Ng, et al. | 2003
- 7 pp.
-
Interactive imaging science on parallel computers: getting immediate resultsPerrine, K.A. / Jones, D.R. et al. | 2003
- 7 pp.
-
A hierarchical resource reservation algorithm for network enabled serversCaron, E. / Desprez, F. / Petit, F. / Vilain, V. et al. | 2003
- 7 pp.
-
Supporting the hard real-time requirements of mechatronic systems by 2-level interrupt service managementSiemers, C. / Falsett, R. / Seyer, R. / Ecker, K. et al. | 2003
- 7 pp.
-
Power efficiency of application-dependent self-configuring pipeline depth in DSP microprocessorsOlivieri, M. / Raspa, M. et al. | 2003
- 7 pp.
-
A real-time disk scheduler for multimedia integrated server considering the disk internal schedulerKyung Ho Kim, / Joo Young Hwang, / Seung Ho Lim, / Joon Woo Cho, / Kyu Ho Park, et al. | 2003
- 7 pp.
-
Parallel heterogeneous genetic algorithms for continuous optimizationAlba, E. / Luna, F. / Nebro, A.J. et al. | 2003
- 7 pp.
-
Are we really ready for the breakthrough? [morphware]Hartenstein, R. et al. | 2003
- 7 pp.
-
Applying aspect-orient programming concepts to a component-based programming modelEidson, T. / Dongarra, J. / Eijkhout, V. et al. | 2003
- 7 pp.
-
Are e-commerce users defenceless?Trampus, M. / Ciglaric, M. / Pancur, M. / Vidmar, T. et al. | 2003
- 7 pp.
-
Project JebX: a Java ebXML experienceBrebner, P. / Logan, B. et al. | 2003
- 7 pp.
-
GCA: a massively parallel modelHoffmann, R. / Volkmann, K.P. / Heenes, W. et al. | 2003
- 7 pp.
-
On self-similarity and hamiltonicity of dual-cubesChangfu Wu, / He Wu, et al. | 2003
- 7 pp.
-
HW/SW codesign of the MPEG-2 video decoderVerderber, M. / Zemva, A. / Trost, A. et al. | 2003
- 7 pp.
-
Uniform agreement despite process omission failuresParvedy, P.R. / Raynal, M. et al. | 2003
- 7 pp.
-
An adaptive approach for n-version systemsGrosspietsch, K.E. / Silayeva, T.A. et al. | 2003
- 7 pp.
-
Towards generic satellite payloads : software radioMorlet, C. / Boucheret, M.-L. / Calmettes, V. / Paillassa, B. / Perennou, T. et al. | 2003
- 7 pp.
-
Resource management for parallel adaptive componentsCourtrai, L. / Guidec, F. / Le Sommer, N. / Maheo, Y. et al. | 2003
- 7 pp.
-
A fast algorithm for the exhaustive analysis of 12-nucleotide-long DNA sequences. Applications to human genomicsArnau, V. / Marin, I. et al. | 2003
- 7 pp.
-
A single-chip supervised partial self-reconfigurable architecture for software defined radioFaust, O. / Sputh, B. / Nathan, D. / Rezgui, S. / Weisensee, A. / Allen, A. et al. | 2003
- 7 pp.
-
Parallel and distributed computing for an adaptive visual object retrieval systemKruger, T. / Wickel, J. / Kraiss, K.F. et al. | 2003
- 7 pp.
-
Performance modeling of the Grace Hash Join on cluster architecturesSchikuta, E. et al. | 2003
- 7 pp.
-
ClusterWorX/spl reg/: a framework to manage large clusters effectivelyWarschko, T.M. et al. | 2003
- 7 pp.
-
Secret key cryptography with cellular automataSeredynski, F. / Bouvry, P. / Zomaya, A.Y. et al. | 2003
- 7 pp.
-
Adaptive image filtering using run-time reconfigurationSrivastava, N. / Trahan, J.L. / Vaidyanathan, R. / Rai, S. et al. | 2003
- 7 pp.
-
Performance evaluation of two emerging media processors: VIRAM and ImagineChatterji, S. / Narayanan, M. / Duell, J. / Oliker, L. et al. | 2003
- 7 pp.
-
Implementing a scalable ASC processorHong Wang, / Walker, R.A. et al. | 2003
- 8 pp.
-
Compiler supported coarse-grained pipelined parallelism: why and howWei Du, / Agrawal, G. et al. | 2003
- 8 pp.
-
Multicast communication in interconnection networks with background trafficKouvatsos, D.D. / Mkwawa, I.M. et al. | 2003
- 8 pp.
-
Formal specification of Java concurrency to assist software verificationLong, B. / Long, B.W. et al. | 2003
- 8 pp.
-
Efficient on-the-fly data race detection in multithreaded C++ programsPozniansky, E. / Schuster, A. et al. | 2003
- 8 pp.
-
Distributed scheduling algorithms for wavelength convertible WDM optical interconnectsZhenghao Zhang, / Yuanyuan Yang, et al. | 2003
- 8 pp.
-
Optimizing synchronization operations for remote memory communication systemsBuntinas, D. / Saify, A. / Panda, D.K. / Nieplocha, J. et al. | 2003
- 8 pp.
-
Accessing hardware performance counters in order to measure the influence of cache on the performance of integer sortingCerin, C. / Fkaier, H. / Jemni, M. et al. | 2003
- 8 pp.
-
An ACS-based partitioning method for distributed virtual environment systemsMorillo, P. / Fernandez, M. / Orduna, J.M. et al. | 2003
- 8 pp.
-
Recovery schemes for high availability and high performance distributed real-time computingLundberg, L. / Haggander, D. / Klonowska, K. / Svahnberg, C. et al. | 2003
- 8 pp.
-
Performance analysis of distributed search in open agent systemsDimakopoulos, V.V. / Pitoura, E. et al. | 2003
- 8 pp.
-
MUSE: a software oscilloscope for clusters and gridsGardner, M.K. / Broxton, M. / Engelhart, A. / Wu-chun Feng, et al. | 2003
- 8 pp.
-
An approach to optimizing adaptive parabolic PDE solvers for the GridAdve, V. / Browne, J. / Ensink, B. / Rice, J. / Teller, P. / Vernon, M. / Wright, S. et al. | 2003
- 8 pp.
-
The lightweight protocol CLIC on Gigabit EthernetDiaz, A.F. / Ortega, J. / Canas, A. / Fernandez, F.J. / Anguita, M. / Prieto, A. et al. | 2003
- 8 pp.
-
Impact of data distribution, level of parallelism, and communication frequency on parallel data cube constructionGe Yang, / Ruoming Jin, / Agrawal, G. et al. | 2003
- 8 pp.
-
A solution for handling hybrid traffic in clustered environments: the MultiMedia Router MMRCaminero, B. / Carrion, C. / Quiles, F.J. / Duato, J. / Yalamanchili, S. et al. | 2003
- 8 pp.
-
Design and evaluation of a parallel HOP clustering algorithm for cosmological simulationYing Liu, / Wei-keng Liao, / Choudhary, A. et al. | 2003
- 8 pp.
-
Integration of a CAN-based connection-oriented communication model into Real-Time CORBALankes, S. / Jabs, A. / Bernmerl, T. et al. | 2003
- 8 pp.
-
An efficient job selection scheme in real-time scheduling under the stack resource policySangchul Han, / Moonju Park, / Yookun Cho, et al. | 2003
- 8 pp.
-
A multiple segmented backups scheme for dependable real-time communication in multihop networksRanjith, G. / Murthy, C.S.R. et al. | 2003
- 8 pp.
-
GridBank: a Grid Accounting Services Architecture (GASA) for distributed systems sharing and integrationBarmouta, A. / Buyya, R. et al. | 2003
- 8 pp.
-
A Beowulf-class architecture proposal for real-time embedded visionRevenga, P.A. / Serot, J. / Lazaro, J.L. / Derutin, J.P. et al. | 2003
- 8 pp.
-
A cluster-based backbone infrastructure for broadcasting in MANETsWei Lou, / Jie Wu, et al. | 2003
- 8 pp.
-
Performance analysis of a fault-tolerant distributed-shared memory protocol on the SOME-bus multiprocessor architectureHecht, D. / Katsinis, C. et al. | 2003
- 8 pp.
-
Novel algorithms for open-loop and closed-loop scheduling of real-time tasks in multiprocessor systems based on execution time estimationAl-Omari, R. / Manimaran, G. / Salapaka, M.V. / Somani, A.K. et al. | 2003
- 8 pp.
-
MedIGrid: a medical imaging application for computational GridsBertero, M. / Bonetto, P. / Carracciuolo, L. / D'Amore, L. / Formiconi, A. / Guarracino, M.R. / Laccetti, G. / Murli, A. / Oliva, G. et al. | 2003
- 8 pp.
-
Distributed hardware-in-the-loop simulator for autonomous continuous dynamical systems with spatially constrained interactionsPapp, Z. / Dorrepaal, M. / Verburg, D.J. et al. | 2003
- 8 pp.
-
Parallel multi-teraflops studies of the magnetic structure of FeMn alloysCanning, A. / Ujfalussy, B. / Schulthess, T.C. / Zhang, X.-G. / Shelton, W.A. / Nicholson, D.M.C. / Stocks, G.M. / Yang Wang, / Dirks, T. et al. | 2003
- 8 pp.
-
Energy and performance considerations in work partitioning for mobile spatial queriesGurumurthi, S. / Ning An, / Sivasubramaniam, A. / Vijaykrishnan, N. / Kandemir, M. / Irwin, M.J. et al. | 2003
- 8 pp.
-
A compilation framework for distributed memory parallelization of data mining algorithmsXiaogang Li, / Ruoming Jin, / Agrawal, G. et al. | 2003
- 8 pp.
-
Distributed P2P computing within Triana: a galaxy visualization test caseTaylor, I. / Shields, M. / Wang, I. et al. | 2003
- 8 pp.
-
Dynamic scheduling issues in SMT architecturesChulho Shin, / Seong-Won Lee, / Gaudiot, J.-L. et al. | 2003
- 8 pp.
-
System support for adaptive real-time computingNiehaus, D. et al. | 2003
- 8 pp.
-
VirtualBoard: real-time visual gesture recognition for natural human-computer interactionCostanzo, C. / Iannizzotto, G. / La Rosa, F. et al. | 2003
- 8 pp.
-
Dual priority algorithm to schedule real-time tasks in a shared memory multiprocessorBands, J.M. / Arenas, A. / Labarta, J. et al. | 2003
- 8 pp.
-
Efficient broadcasts and simple algorithms for parallel linear algebra computing in clustersTinetti, F.G. / Luque, E. et al. | 2003
- 8 pp.
-
An urgency-based prioritized MAC layer protocol for real-time traffic in ad-hoc wireless networksYuan, T. / Dogan, A. / Ozguner, F. et al. | 2003
- 8 pp.
-
Lazy parallelization: a finite state machine based optimization approach for data parallel image processing applicationsSeinstra, F.J. / Koelma, D. et al. | 2003
- 8 pp.
-
A UNITY based formalism for dynamic distributed systemsZimmerman, D.M. et al. | 2003
- 8 pp.
-
Implementation of a calendar application based on SyD coordination linksPrasad, S.K. / Bourgeois, A.G. / Dogdu, E. / Sunderraman, R. / Yi Pan, / Navathe, S. / Madisetti, V. et al. | 2003
- 8 pp.
-
Improving quality of service in application clustersCorsava, S. / Getov, V. et al. | 2003
- 8 pp.
-
A parallel ant colony optimization algorithm for all-pair routing in MANETsIslam, M.T. / Thulasiraman, P. / Thulasiram, R.K. et al. | 2003
- 8 pp.
-
An executable analytical performance evaluation approach for early performance predictionJacquet, A. / Janot, V. / Leung, C. / Gao, G.R. / Govindarajan, R. / Sterling, T.L. et al. | 2003
- 8 pp.
-
Partial path set-up for fault-tolerant routing in hypercubesXiang, D. / Chen, A. et al. | 2003
- 8 pp.
-
Padico: a component-based software infrastructure for Grid computingDenis, A. / Perez, C. / Priol, T. / Ribes, A. et al. | 2003
- 8 pp.
-
Task clustering and scheduling to multiprocessors with duplicationLi Guodong, / Chen Daoxu, / Wang Daming, / Zhang Defu, et al. | 2003
- 8 pp.
-
Distributed geo-rectification of satellite images using Grid computingTeo, Y.M. / Low, S.C. / Tay, S.C. / Gozali, J.P. et al. | 2003
- 8 pp.
-
Dynamic organization schemes for cooperative proxy cachingBakiras, S. / Loukopoulos, T. / Ahmad, I. et al. | 2003
- 8 pp.
-
Scalability of a low-cost multi-Teraflop Linux cluster for high-end classical atomistic and quantum mechanical simulationsKikuchi, H. / Kalia, R.K. / Nakano, A. / Vashishta, P. / Shimojo, F. / Saini, S. et al. | 2003
- 8 pp.
-
Tornado: a capability-aware peer-to-peer storage networkHung-Chang Hsiao, / Chung-Ta King, et al. | 2003
- 8 pp.
-
Efficient agent-based multicast on wormhole switch-based irregular networksYi-Fang Lin, / Jan-Jan Wu, / Pangfeng Liu, et al. | 2003
- 8 pp.
-
A methodology for concurrent and distributed Java applicationsRamirez, R. / Santosa, A. et al. | 2003
- 8 pp.
-
Protein structure prediction by applying an evolutionary algorithmDay, R.O. / Lamont, G.B. / Pachter, R. et al. | 2003
- 8 pp.
-
Targeting tiled architectures in design explorationBossuet, L. / Burleson, W. / Gogniat, G. / Anand, V. / Laffely, A. / Philippe, J.-L. et al. | 2003
- 8 pp.
-
Metrics for reconfigurable architectures characterization: remanence and scalabilityBenoit, P. / Sassatelli, G. / Torres, L. / Demigny, D. / Robert, M. / Cambon, G. et al. | 2003
- 8 pp.
-
Optimizing performance and reliability in distributed computing systems through wide spectrum storagePlank, J.S. / Beck, M. / Dongarra, J. / Wolski, R. / Casanova, H. et al. | 2003
- 8 pp.
-
A limited-global information model for fault-tolerant routing in dual-cubesZhen Jiang, / Jie Wu, et al. | 2003
- 8 pp.
-
A characterisation of optimal channel assignments for wireless networks modelled as cellular and square gridsShashanka, M.V.S. / Pati, A. / Shende, A.M. et al. | 2003
- 8 pp.
-
Verification of real-time systems by abstraction of time constraintsBourahla, M. / Benmohamed, M. et al. | 2003
- 8 pp.
-
An implicitly parallel object-oriented matrix library and its application to medical physicsLatt, J. / Chopard, B. et al. | 2003
- 8 pp.
-
Parallel cellular programming for developing massively parallel emergent systemsTalia, D. et al. | 2003
- 8 pp.
-
Replay debugging of real-time systems using time machinesThane, H. / Sundmark, D. / Huselius, J. / Pettersson, A. et al. | 2003
- 8 pp.
-
A log(n) multi-mode locking protocol for distributed systemsDesai, N. / Mueller, F. et al. | 2003
- 8 pp.
-
Grid Harvest Service: a system for long-term, application-level task schedulingXian-He Sun, / Ming Wu, et al. | 2003
- 8 pp.
-
Performance of distributed algorithms for topology control in wireless networksRuhrup, S. / Schindelhauer, C. / Volbert, K. / Grunewald, M. et al. | 2003
- 8 pp.
-
Quantifying locality effect in data access delay: memory logPCameron, K.W. / Xian-He Sun, et al. | 2003
- 8 pp.
-
A grid-enabled problem solving environment (PSE) for design optimisation within MatlabPound, G.E. / Eres, M.H. / Wason, J.L. / Jiao, Z. / Keane, A.J. / Cox, S.J. et al. | 2003
- 8 pp.
-
A Java dialect free of data races and without annotationsMateu, L. et al. | 2003
- 8 pp.
-
Parallel tabu search in a heterogeneous environmentAl-Yamani, A. / Sait, S.M. / Barada, H. / Youssef, H. et al. | 2003
- 8 pp.
-
On the appropriateness of commodity operating systems for large-scale, balanced computing systemsBrightwell, R. / Maccabe, A.B. / Riesen, R. et al. | 2003
- 8 pp.
-
Anonymous publish/subscribe in P2P networksDatta, A.K. / Gradinariu, M. / Raynal, M. / Simon, G. et al. | 2003
- 8 pp.
-
Exploiting Java-ILP on a simultaneous multi-trace instruction issue (SMTI) processorAchutharaman, R. / Govindarajan, R. / Hariprakash, G. / Omondi, A.R. et al. | 2003
- 8 pp.
-
Incorporating theories of group dynamics in group decision support system (GDSS) designTroyer, L. et al. | 2003
- 8 pp.
-
Distributed process networks in JavaParks, T.M. / Roberts, D. et al. | 2003
- 8 pp.
-
Proximity and priority: applying a gene expression algorithm to the Traveling Salesperson ProblemBurkowski, F.J. et al. | 2003
- 8 pp.
-
CREC: a novel reconfigurable computing design methodologyCret, O. / Pusztai, K. / Vancea, C. / Szente, B. et al. | 2003
- 8 pp.
-
Automated RTR temporal partitioning for reconfigurable embedded real-time system designTanougast, C. / Berviller, Y. / Brunet, P. / Weber, S. et al. | 2003
- 8 pp.
-
A new reconfigurable hardware architecture for high throughput networking applications and its design methodologyMeribout, M. / Motomura, M. et al. | 2003
- 8 pp.
-
Channel assignment on strongly-simplicial graphsBertossi, A.A. / Pinotti, M.C. / Rizzi, R. et al. | 2003
- 8 pp.
-
Multicasting to groups in optical networks and related combinatorial optimization problemsGargano, L. / Rescigno, A.A. / Vaccaro, U. et al. | 2003
- 8 pp.
-
Vectorization of the 2D wavelet lifting transform using SIMD extensionsChaver, D. / Tenllado, C. / Pinuel, L. / Prieto, M. / Tirado, F. et al. | 2003
- 8 pp.
-
Performance evaluation of vision-based real-time motion captureDate, N. / Yoshimoto, H. / Arita, D. / Yonemoto, S. / Taniguchi, R.I. et al. | 2003
- 8 pp.
-
Parallelization of the three-dimensional transport equation for Boron Neutron Capture TherapyAubanel, E.E. / El Khettabi, F. et al. | 2003
- 8 pp.
-
Trident: technology-scalable architecture for data parallel applicationsSedukhin, S.G. / Soliman, M.I. et al. | 2003
- 8 pp.
-
Automatic resource management using an adaptive parallelism environmentWangerin, D. / Scherson, I.D. et al. | 2003
- 8 pp.
-
A performance interface for component-based applicationsShende, S. / Malony, A.D. / Rasmussen, C. / Sottile, M. et al. | 2003
- 8 pp.
-
Speculative lock reordering: optimistic out-of-order execution of critical sectionsRundberg, P. / Stenstrom, P. et al. | 2003
- 8 pp.
-
Topological skeletons in Haskell/sub #/de Carvalho, F.H. / Lins, R.D. et al. | 2003
- 8 pp.
-
Parallel multilevel block ILU preconditioning techniques for large sparse linear systemsChi Shen, / Jun Zhang, / Kai Wang, et al. | 2003
- 8 pp.
-
Mesh partitioning: a multilevel ant-colony-optimization algorithmKorosec, P. / Silc, J. / Robic, B. et al. | 2003
- 8 pp.
-
Sequence alignment on the Cray MTA-2Bokhari, S.H. / Sauer, J.R. et al. | 2003
- 8 pp.
-
Real-time primer design for DNA chipsSimmler, H. / Singpiel, H. / Manner, R. et al. | 2003
- 8 pp.
-
Parallel detection of regulatory elements with gMPSchmidt, B. / Lin Feng, / Laud, A. / Santoso, Y. et al. | 2003
- 8 pp.
-
Addressable procedures for logic and arithmetic operations with DNA strandsFujiwara, A. / Matsumoto, K. / Wei Chen, et al. | 2003
- 8 pp.
-
Fast online task placement on FPGAs: free space partitioning and 2D-hashingWalder, H. / Steiger, C. / Platzner, M. et al. | 2003
- 8 pp.
-
From Legion to Legion-G to OGSI.NET: object-based computing for GridsHumphrey, M. et al. | 2003
- 8 pp.
-
Stable checkpointing in distributed systems without shared disksSobe, P. et al. | 2003
- 8 pp.
-
Wireless ATM layouts for chain networksFlammini, M. / Gambosi, G. et al. | 2003
- 8 pp.
-
On training a sensor networkWadaa, A. / Olariu, S. / Wilson, L. / Jones, K. / Xu, Q. et al. | 2003
- 8 pp.
-
Hand-off delay analysis in SIP-based mobility management in wireless networksBanerjee, N. / Basu, K. / Das, S.K. et al. | 2003
- 8 pp.
-
On the approximation ratio of the MST based heuristic for the energy-efficient broadcast problem in static ad-hoc radio networksClementi, A.K. / Huiban, G. / Rossi, G. / Verhoeven, Y.C. / Penna, P. et al. | 2003
- 8 pp.
-
SPMD image processing on Beowulf clusters: directives and librariesOliveira, P. / du Buf, H. et al. | 2003
- 8 pp.
-
Task graph scheduling using timed automataAbdeddaim, Y. / Kerbaa, A. / Maler, O. et al. | 2003
- 8 pp.
-
Founding FireWire bridges through Promela prototypingvan Langevelde, I. / Romijn, J. / Goga, N. et al. | 2003
- 8 pp.
-
Parametric time-based dispatching in CORBA distributed environmentsElsharkawy, S.M. / Agrawala, A.K. et al. | 2003
- 8 pp.
-
Exploring the use of Hyper-Threading technology for multimedia applications with Intel/spl reg/ OpenMP compilerXinmin Tian, / Yen-Kuang Chen, / Girkar, M. / Ge, S. / Lienhart, R. / Shah, S. et al. | 2003
- 8 pp.
-
Global communication optimization for tensor contraction expressions under memory constraintsCociorva, D. / Xiaoyang Gao, / Krishnan, S. / Baumgartner, G. / Chi-Chung Lam, / Sadayappan, P. / Ramanujam, J. et al. | 2003
- 8 pp.
-
Dynamic load balancing of an iterative eigensolver on networks of heterogeneous clustersMcCombs, J.R. / Mills, R.T. / Stathopoulos, A. et al. | 2003
- 8 pp.
-
ConFiRM-DRTS: a certification framework for dynamic resource management in distributed real-time systemsSuzhen Lin, / Sudhir, A.S. / Manimaran, G. et al. | 2003
- 8 pp.
-
Parallel direct solution of linear equations on FPGA-based machinesXiaofang Wang, / Ziavras, S.G. et al. | 2003
- 8 pp.
-
A train control system case study in model-based real time system designZimmermann, A. / Hommel, G. et al. | 2003
- 8 pp.
-
Dynamic resource discovery for applications survivability in distributed real-time systemsByung Kyu Choi, / Rho, S. / Bettati, R. et al. | 2003
- 8 pp.
-
PROSIDIS: a special purpose processor for protein similarity discoveryMarongiu, A. / Palazzari, P. / Rosato, V. et al. | 2003
- 8 pp.
-
Phylogenetic tree inference on PC architectures with AxML/PAxMLStamatakis, A.P. / Ludwig, T. et al. | 2003
- 8 pp.
-
On optimal hierarchical configuration of distributed systems on mesh and hypercubeDajin Wang, / Jiannong Cao, et al. | 2003
- 8 pp.
-
A parallel genetic approach to the placement problem for field programmable gate arraysBorra, S.N.R. / Muthukaruppan, A. / Suresh, S. / Kamakoti, V. et al. | 2003
- 8 pp.
-
Intelligent architecture for automatic resource allocation in computer clustersCorsava, S. / Getov, V. et al. | 2003
- 8 pp.
-
The reconstruction of a mobile agent computation and its validationFilali, M. / Mauran, P. / Padiou, G. / Queinnec, P. et al. | 2003
- 8 pp.
-
Increasing the throughput of available resources using management tools based on Grid technologiesGonzalez, P. / Martin, M.J. / Mourino, J.C. / Doallo, R. et al. | 2003
- 8 pp.
-
Matrix bidiagonalization on the Trident processorSoliman, M.I. / Sedukhin, S.G. et al. | 2003
- 8 pp.
-
Memory-efficient kronecker algorithms with applications to the modelling of parallel systemsBenoit, A. / Plateau, B. / Stewart, W.J. et al. | 2003
- 8 pp.
-
A study of an evaluation methodology for unbuffered multistage interconnection networksAljundi, A.C. / Dekeyser, J.L. / Kechadi, M.T. / Scherson, I.D. et al. | 2003
- 8 pp.
-
A case study of selected SPLASH-2 applications and the SBT debugging toolNovillo, E. / Lu, P. et al. | 2003
- 8 pp.
-
Improving speculative thread-level parallelism through module run-length predictionWarg, F. / Stenstrom, P. et al. | 2003
- 8 pp.
-
Exploring the predictability of MPI messagesFreitag, F. / Caubet, J. / Farrera, M. / Cortes, T. / Labarta, J. et al. | 2003
- 8 pp.
-
A view on relational data on the GridMagowan, J. et al. | 2003
- 8 pp.
-
An approach for on-line performance certificationWelch, L.R. et al. | 2003
- 8 pp.
-
A real-time event channel model for the CAN-BusKaiser, J. / Brudna, C. / Mitidieri, C. et al. | 2003
- 8 pp.
-
Parallel single front genetic algorithm: performance analysis in a cluster systemDe Toro, F. / Ortega, J. / Paechter, B. et al. | 2003
- 8 pp.
-
A distributed hierarchical programming model for heterogeneous cluster of SMPsRauber, T. / Runger, G. / Trautmann, S. et al. | 2003
- 8 pp.
-
Effective utilization and reconfiguration of distributed hardware resources using job management systemsGaj, K. / El-Ghazawi, T. / Alexandridis, N. / Radzikowski, J.R. / Taher, M. / Vroman, F. et al. | 2003
- 8 pp.
-
Some modular adders and multipliers for field programmable gate arraysBeuchat, J.-L. et al. | 2003
- 8 pp.
-
Implementing TreadMarks over GM on Myrinet: challenges, design experience, and performance evaluationNoronha, R. / Panda, D.K. et al. | 2003
- 8 pp.
-
Towards a Pervasive GridHingne, V. / Joshi, A. / Finin, T. / Kargupta, H. / Houstis, E. et al. | 2003
- 8 pp.
-
Global and localized parallel preconditioning techniques for large scale solid Earth simulationsKai Wang, / Sangbae Kim, / Jun Zhang, / Nakajima, K. / Okuda, H. et al. | 2003
- 8 pp.
-
Architectural frameworks for MPP systems on a chipAndrews, D. / Niehaus, D. et al. | 2003
- 8 pp.
-
Performance monitoring and evaluation of a UPC implementation on a NUMA architectureCantonnet, F. / Yao, Y. / Annareddy, S. / Mohamed, A.S. / El-Ghazawi, T.A. et al. | 2003
- 8 pp.
-
A statistical approach to branch modeling in static program performance predictionGautama, H. / van Gemund, A.J.C. et al. | 2003
- 8 pp.
-
Heuristics for finding concurrent bugsEytani, Y. / Farchi, E. / Ben-Asher, Y. et al. | 2003
- 8 pp.
-
A computational strategy for the solution of large linear inverse problems in geophysicsMarques, O. / Drummond, T. / Vasco, D. et al. | 2003
- 8 pp.
-
Energy-aware compilation and execution in Java-enabled mobile devicesChen, G. / Kang, B. / Kandemir, M. / Vijaykrishnan, N. / Irwin, M.J. / Chandramouli, R. et al. | 2003
- 8 pp.
-
A BSP/CGM algorithm for the all-substrings longest common subsequence problemAlves, C.E.R. / Caceres, E.N. / Song, S.W. et al. | 2003
- 8 pp.
-
A Hierarchical sparse matrix storage format for vector processorsStathis, P. / Vassiliadis, S. / Cotofana, S. et al. | 2003
- 8 pp.
-
A new approach to configurable dynamic scheduling in clusters based on single system image technologiesVallee, G. / Morin, C. / Berthou, J.-Y. / Rilling, L. et al. | 2003
- 8 pp.
-
Simulation of data distribution strategies for LU factorization on heterogeneous machinesBarbosa, J. / Morais, C.N. / Padilha, A.J. et al. | 2003
- 8 pp.
-
Robust scheduling in team-roboticsGergeleit, M. / Becker, L.B. / Nett, E. et al. | 2003
- 8 pp.
-
A comparison between MPI and OpenMP branch-and-bound skeletonsDorta, I. / Leon, C. / Rodriguez, C. et al. | 2003
- 8 pp.
-
Evolutionary fault recovery in a Virtex FPGA using a representation that incorporates routingLohn, J. / Larchev, G. / DeMara, R. et al. | 2003
- 8 pp.
-
A reconfigurable processor architecture and software development environment for embedded systemsCampi, F. / Cappelli, A. / Guerrieri, R. / Lodi, A. / Toma, M. / La Rosa, A. / Lavagno, L. / Passerone, C. / Canegallo, R. et al. | 2003
- 8 pp.
-
Loop dissevering: a technique for temporally partitioning loops in dynamically reconfigurable computing platformsCardoso, J.M.P. et al. | 2003
- 8 pp.
-
A novel design technology for next generation ubiquitous computing architecturesNitsch, C. / Lara, C. / Kebschull, U. et al. | 2003
- 8 pp.
-
The CoGenT project: co-generating compilers and simulators for dynamically compiled languagesMoss, J.E.B. / Weems, C.C. / Richards, T. et al. | 2003
- 8 pp.
-
A sleep-awake protocol for information propagation in smart dust networksChatzigiannakis, I. / Nikoletseas, S. et al. | 2003
- 8 pp.
-
Wireless link layer enhancements for TCP and UDP applicationsXylomenos, G. / Polyzos, G.C. et al. | 2003
- 8 pp.
-
An empirical study of different strategies for the parallel simulation of large-scale communication networksForgeau, B. / Killat, U. et al. | 2003
- 8 pp.
-
Performance prediction and its use in parallel and distributed computing systemsJarvis, S.A. / Spooner, D.P. / Keung, H.N.L.C. / Nudd, G.R. et al. | 2003
- 8 pp.
-
An efficient path-based multicast algorithm for mesh networksAl-Dubai, Y. / Ould-Khaoua, M. / Mackenzie, L.M. et al. | 2003
- 8 pp.
-
HiDISC: a decoupled architecture for data-intensive applicationsRo, W.W. / Gaudiot, J.-L. / Crago, S.P. / Despain, A.M. et al. | 2003
- 8 pp.
-
On scheduling collaborative computations on the Internet, I: mesh-DAGs and their close relativesRosenberg, A.L. et al. | 2003
- 8 pp.
-
A generic broadcast protocol in ad hoc networks based on self-pruningJie Wu, / Fei Dai, et al. | 2003
- 8 pp.
-
Orientation refinement of virus structures with unknown symmetryYongchang Ji, / Marinescu, D.C. / Wei Zhang, / Baker, T.S. et al. | 2003
- 8 pp.
-
Task pool teams for implementing irregular algorithms on clusters of SMPsHippold, J. / Runger, G. et al. | 2003
- 8 pp.
-
A GRASP-based algorithm for solving DVE partitioning problemMorillo, P. / Fernandez, M. et al. | 2003
- 8 pp.
-
Using a parallel CFD code for evaluation of clusters and MPPsBessonov, O. / Fougere, D. / Roux, B. et al. | 2003
- 8 pp.
-
SoCBUS: switched network on chip for hard real time embedded systemsWiklund, D. / Dake Liu, et al. | 2003
- 8 pp.
-
An optimization framework for dynamic, distributed real-time systemsEcker, K. / Juedes, D. / Welch, L. / Chelberg, D. / Bruggeman, C. / Drews, F. / Fleeman, D. / Parrott, D. / Pfarr, B. et al. | 2003
- 8 pp.
-
A three-stage heuristic combined genetic algorithm strategy to the channel-assignment problemXiannong Fu, / Yi Pan, / Bourgeois, A.G. / Pingzhi Fan, et al. | 2003
- 8 pp.
-
Program-based locality measures for scientific computingRauber, T. / Runger, G. et al. | 2003
- 8 pp.
-
Hardware implementation of a Montgomery modular multiplier in a systolic arrayOrs, S.B. / Batina, L. / Preneel, B. / Vandewalle, J. et al. | 2003
- 8 pp.
-
Specification of a gas station using a formalism integrating formal datatypes within state diagramsAttiogbe, C. / Salaun, G. / Poizat, P. et al. | 2003
- 8 pp.
-
Optimized one-to-one personalization of Web applications using a graph based modelSonneck, G. / Mueck, T. et al. | 2003
- 8 pp.
-
A numerical study of some parallel algebraic preconditionersXing Cai, / Sosonkina, M. et al. | 2003
- 8 pp.
-
Several parallel algorithms for solving nonlinear systems with symmetric and positive definite JacobiansPeinado, J. / Vidal, A.M. et al. | 2003
- 8 pp.
-
Multiple instruction stream control for an associative model of parallel computationScherger, M. / Baker, J. / Potter, J. et al. | 2003
- 8 pp.
-
System management in the BlueGene/L supercomputerAlmasi, G. / Bachega, L. / Bellofatto, R. / Brunheroto, J. / Cascaval, C. / Castanos, J. / Crumley, P. / Erway, C. / Gagliano, J. / Lieber, D. et al. | 2003
- 8 pp.
-
A classification of concurrency failures in Java componentsLong, B. / Strooper, P. et al. | 2003
- 8 pp.
-
Allocating servers in infostations for on-demand communicationsBertossi, A.A. / Pinotti, M.C. / Rizzi, R. / Gupta, P. et al. | 2003
- 8 pp.
-
Fault-tolerant and energy-efficient permutation routing protocol for wireless networksDatta, A. et al. | 2003
- 8 pp.
-
On certification of dynamically re-configurable tactical computerChang, M.Y. / Thomas, R.W.L. et al. | 2003
- 8 pp.
-
Real-time hybrid task scheduling upon multiprocessor production stagesEl-Kebbe, D.A. et al. | 2003
- 8 pp.
-
Better real-time response for time-share schedulingBanachowski, S.A. / Brandt, S.A. et al. | 2003
- 8 pp.
-
Human immune anomaly and misuse based detection for computer system operations: part IIJuca, K.R.L. / Boukerche, A. / Sobral, J.B.M. / Notare, M.S.M.A. et al. | 2003
- 8 pp.
-
Reconfigurable mapping functions for online architecturesHarinath, S. / Sass, R. et al. | 2003
- 8 pp.
-
A reconfigurable low-power high-performance matrix multiplier architecture with borrow parallel countersRong Lin, et al. | 2003
- 8 pp.
-
Integrating safety analysis into formal specification of dependable systemsTroubitsyna, E. et al. | 2003
- 8 pp.
-
Ad hoc networks: a protocol for supporting QoS applicationsDonatiello, L. / Furini, M. et al. | 2003
- 8 pp.
-
Approximate search engine optimization for directory serviceKai-Hsiang Yang, / Chi-Chien Pan, / Tzao-Lin Lee, et al. | 2003
- 8 pp.
-
Wide-area content-based routing mechanismMitra, A. / Maheswaran, M. / Rueda, J.A. et al. | 2003
- 8 pp.
-
The feelfem system: a repository system for the finite element methodFujio, H. et al. | 2003
- 8 pp.
-
Methods for parallel simulations of surface reactionsNedea, S.V. / Lukkien, J.J. / Hilbers, P.A.J. / Jansen, A.P.J. et al. | 2003
- 8 pp.
-
System level simulation of a SIMD active memory enhanced PC (or, why we don't want 100% bandwidth utilisation)Mangnall, J. / Quigley, S. et al. | 2003
- 8 pp.
-
Benchmark and framework for encouraging research on multi-threaded testing toolsHavelund, K. / Stoller, S.D. / Ur, S. et al. | 2003
- 8 pp.
-
Early error detection in industrial strength cache coherence protocols using SQLSubramaniam, M. et al. | 2003
- 8 pp.
-
Global priority-driven aperiodic scheduling on multiprocessorsAndersson, B. / Abdelzaher, T. / Jonsson, J. et al. | 2003
- 8 pp.
-
Sparse WDM optical interconnects under wavelength-based modelYuanyuan Yang, / Jianchao Wang, et al. | 2003
- 8 pp.
-
One-step algorithm for mixed data and task parallel scheduling without data replicationBoudet, V. / Desprez, F. / Suter, F. et al. | 2003
- 8 pp.
-
A cluster-based active router architecture supporting video/audio stream transcoding serviceJiani Guo, / Fang Chen, / Bhuyan, L. / Kumar, R. et al. | 2003
- 8 pp.
-
Fault-tolerant multi-server video-on-demand serviceFriedman, R. / Baram, L. / Abarbanel, S. et al. | 2003
- 8 pp.
-
mmGrid: distributed resource management infrastructure for multimedia applicationsBasu, S. / Adhikari, S. / Kumar, R. / Yong Yan, / Hochmuth, R. / Blaho, B.E. et al. | 2003
- 8 pp.
-
Web services - control meets collaborationBuchholz, C. et al. | 2003
- 8 pp.
-
LEAF: a toolkit for developing coordinated learning based MASLynden, S. / Rana, O.F. et al. | 2003
- 8 pp.
-
System-level modeling of dynamically reconfigurable hardware with SystemCPelkonen, A. / Masselos, K. / Cupak, M. et al. | 2003
- 8 pp.
-
Remote and partial reconfiguration of FPGAs: tools and trendsMesquita, D. / Moraes, F. / Palma, J. / Moller, L. / Calazans, N. et al. | 2003
- 8 pp.
-
Evolutionary reconfigurable architecture for robust face recognitionIn Ja Jeon, / Boung Mo Choi, / Phill Kyu Rhee, et al. | 2003
- 8 pp.
-
A message passing interface library for inhomogeneous coupled clustersPoeppe, M. / Schuch, S. / Bemmerl, T. et al. | 2003
- 8 pp.
-
Reasoning about deadlines in concurrent real-time programsPeuker, S. / Hayes, I. et al. | 2003
- 8 pp.
-
An object-oriented programming framework for parallel finite element analysis with application: liquid composite moldingHenz, B.J. / Shires, D.R. et al. | 2003
- 8 pp.
-
Importance of SIMD computation reconsideredMeilander, W.C. / Baker, J.W. / Mingxian Jin, et al. | 2003
- 8 pp.
-
A decentralized hierarchical scheduler for a grid-based clearinghouseXavier, P. / Bu-Sung Lee, / Wentong Cai, et al. | 2003
- 8 pp.
-
Distributed computation of passage time quantiles and transient state distributions in large semi-Markov modelsBradley, J.T. / Dingle, N.J. / Harrison, P.G. / Knottenbelt, W.J. et al. | 2003
- 8 pp.
-
Approximation in non-product form multiple queue systemsThomas, N. et al. | 2003
- 8 pp.
-
Malleable memory mapping: user-level control of memory bounds for effective program adaptationNikolopoulos, D.S. et al. | 2003
- 8 pp.
-
A general framework for searching in distributed data repositoriesBakiras, S. / Kalnis, P. / Loukopoulos, T. / Wee Siong Ng, et al. | 2003
- 8 pp.
-
Routing on meshes in optimum time and with really small queuesChlebus, B.S. / Sibeyn, J.F. et al. | 2003
- 8 pp.
-
An extended link reversal protocol in dynamic networksJie Wu, / Fei Dai, et al. | 2003
- 8 pp.
-
On the memory usage of a parallel multifrontal solverGuermouche, A. / L'Excellent, J.-Y. / Utard, G. et al. | 2003
- 8 pp.
-
MIP formulation for robust resource allocation in dynamic real-time systemsGertphol, S. / Prasanna, V.K. et al. | 2003
- 8 pp.
-
Using hybrid alignment for iterative sequence database searchesYuheng Li, / Lauria, M. / Bundschuh, R. et al. | 2003
- 8 pp.
-
Solving the protein threading problem in parallelYanev, N. / Andonov, R. et al. | 2003
- 8 pp.
-
Massively parallel wireless reconfigurable processor architecture and programmingSarrigeorgidis, K. / Rabaey, J. et al. | 2003
- 8 pp.
-
Performance and overhead in a hybrid reconfigurable computerFidanci, O.D. / Poznanovic, D. / Gaj, K. / El-Ghazawi, T. / Alexandridis, N. et al. | 2003
- 8 pp.
-
Programming models and system software for future high-end computing systems: work-in-progressGao, G.R. / Theobald, K.B. / Govindarajan, R. / Leung, C. / Ziang Hu, / Haiping Wu, / Jizhu Lu, / del Cuvillo, J. / Jacquet, A. / Janot, V. et al. | 2003
- 8 pp.
-
Probabilistic protocols for node discovery in ad-hoc, single broadcast channel networksAlonso, G. / Kranakis, E. / Wattenhofer, R. / Widmayer, P. et al. | 2003
- 8 pp.
-
Towards a theory of bisimulation for a fragment of VerilogLi Yongjian, / He Jifeng, et al. | 2003
- 8 pp.
-
Chain-based protocols for data broadcasting and gathering in the sensor networksKemei Du, / Jie Wu, / Dan Zhou, et al. | 2003
- 8 pp.
-
Choosing among alternative pastsBiberstein, M. / Farchi, E. / Ur, S. et al. | 2003
- 8 pp.
-
Bristle: a mobile structured peer-to-peer architectureHung-Chang Hsiao, / Chung-Ta King, et al. | 2003
- 8 pp.
-
A low cost fault tolerant packet routing for parallel computersPuente, V. / Gregorio, J.A. / Beivide, R. / Vallejo, F. et al. | 2003
- 8 pp.
-
Vectorization of multigrid codes using SIMD ISA extensionsGarcia, C. / Lario, R. / Prieto, M. / Pinuel, L. / Tirado, F. et al. | 2003
- 8 pp.
-
Improved methods for divisible load distribution on k-dimensional meshes using pipelined communicationsKeqin Li, et al. | 2003
- 8 pp.
-
Dynamic power management of heterogeneous systemsJinwoo Suh, / Dong-In Kang, / Crago, S.P. et al. | 2003
- 8 pp.
-
X4CP32: a coarse grain general purpose reconfigurable microprocessorSoares, R. / Azevedo, A. / Silva, I.S. et al. | 2003
- 8 pp.
-
A polymorphic hardware platformBeckett, P. et al. | 2003
- 8 pp.
-
Expresso and chips: creating a next generation microarray experiment management systemSioson, A. / Watkinson, J.I. / Vasquez-Robinet, C. / Ellis, M. / Shukla, M. / Kumar, D. / Ramakrishnan, N. / Heath, L.S. / Grene, R. / Chevone, B.I. et al. | 2003
- 8 pp.
-
Quality of service in wireless networksBilo, V. / Di Pasquale, A. / Fioravanti, F. / Flammini, M. / Forlizzi, L. / Lo Presti, F. / Melideo, G. / Nardelli, E. / Navarra, A. / Proietti, G. et al. | 2003
- 8 pp.
-
Multi-paradigm framework for parallel image processingJohnston, D. / Fleury, M. / Downton, A. et al. | 2003
- 8 pp.
-
Performance prediction of paging workloads using lightweight tracingBurton, A.N. / Kelly, P.H.J. et al. | 2003
- 9 pp.
-
ParoC++: a requirement-driven parallel object-oriented programming languageNguyen, T.-A. / Kuonen, P. et al. | 2003
- 9 pp.
-
Performance modeling for entity-level simulationsSu, A. / Berman, F. / Casanova, H. et al. | 2003
- 9 pp.
-
Compiler and runtime support for running OpenMP programs on Pentium- and Itanium-architecturesXinmin Tian, / Girkar, M. / Shah, S. / Armstrong, D. / Su, E. / Petersen, P. et al. | 2003
- 9 pp.
-
An approach to heterogeneous process state capture/recovery to achieve minimum performance overhead during normal executionBungale, P.P. / Sridhar, S. / Krishnamurthy, V. et al. | 2003
- 9 pp.
-
ECO: an empirical-based compilation and optimization systemBaradaran, N. / Chame, J. / Chun Chen, / Diniz, P. / Hall, M. / Yoon-Ju Lee, / Bing Liu, / Lucas, R. et al. | 2003
- 9 pp.
-
A generic scheme for building overlay networks in adversarial scenariosAbraham, I. / Awerbuch, B. / Azar, Y. / Bartal, Y. / Malkhi, D. / Pavlov, E. et al. | 2003
- 9 pp.
-
An analysis of performance enhancement techniques for overset grid applicationsDjomehri, M.J. / Biswas, R. / Potsdam, M. / Strawn, R.C. et al. | 2003
- 9 pp.
-
Supporting QoS-based discovery in service-oriented GridsAl-Ali, R.J. / ShaikhAli, A. / Rana, O.F. / Walker, D.W. et al. | 2003
- 9 pp.
-
Energy-aware partitioning for multiprocessor real-time systemsAydin, H. / Qi Yang, et al. | 2003
- 9 pp.
-
Accurate method for fast design of diagnostic oligonucleotide probe sets for DNA microarraysKrause, A. / Krautner, M. / Meier, H. et al. | 2003
- 9 pp.
-
Coupling dynamic load balancing with asynchronism in iterative algorithms on the computational gridBahi, J.M. / Contassot-Vivier, S. / Couturier, R. et al. | 2003
- 9 pp.
-
UMR: a multi-round algorithm for scheduling divisible workloadsYang Yang, / Casanova, H. et al. | 2003
- 9 pp.
-
Energy aware scheduling for distributed real-time systemsMishra, R. / Rastogi, N. / Dakai Zhu, / Mosse, D. / Melhem, R. et al. | 2003
- 9 pp.
-
Homeostatic and tendency-based CPU load predictionsLingyun Yang, / Foster, I. / Schopf, J.M. et al. | 2003
- 9 pp.
-
A framework for portable shared memory programmingSchulz, M. / McKee, S.A. et al. | 2003
- 9 pp.
-
Shielded processors: guaranteeing sub-millisecond response in standard LinuxBrosky, S. / Rotolo, S. et al. | 2003
- 9 pp.
-
A case study of optimistic computing on the grid: parallel mesh generationChrisochoides, N. / Fedorov, A. / Lowekamp, B.B. / Zangrilli, M. / Lee, C. et al. | 2003
- 9 pp.
-
Using incorrect speculation to prefetch data in a concurrent multithreaded processorYing Chen, / Sendag, R. / Lija, D.J. et al. | 2003
- 9 pp.
-
Miss penalty reduction using bundled capacity prefetching in multiprocessorsWallin, D. / Hagersten, E. et al. | 2003
- 9 pp.
-
ParadisEO: a framework for parallel and distributed biologically inspired heuristicsCahon, S. / Talbi, E.-G. / Melab, N. et al. | 2003
- 9 pp.
-
The flexible time-triggered (FTT) paradigm: an approach to QoS management in distributed real-time systemsPedreiras, P. / Luis, A. et al. | 2003
- 9 pp.
-
Using Golomb rulers for optimal recovery schemes in fault tolerant distributed computingKlonowska, K. / Lundberg, L. / Lennerstad, H. et al. | 2003
- 9 pp.
-
Contact-based architecture for resource discovery (CARD) in large scale MANetsHelmy, A. / Garg, S. / Pamu, P. / Nahata, N. et al. | 2003
- 9 pp.
-
Efficient collective operations using remote memory operations on VIA-based clustersGupta, R. / Balaji, P. / Panda, D.K. / Nieplocha, J. et al. | 2003
- 9 pp.
-
Dynamic instrumentation of large-scale MPI and OpenMP applicationsThiffault, C. / Voss, M. / Healey, S.T. / Seon Wook Kim, et al. | 2003
- 9 pp.
-
A framework for collective personalized communicationKale, L.V. / Kumar, S. / Varadarajan, K. et al. | 2003
- 9 pp.
-
Enhanced processor budget for QoS management in multimedia systemsChang-Gun Lee, / Lui Sha, et al. | 2003
- 10 pp.
-
Autonomous protocols for bandwidth-centric scheduling of independent-task applicationsKreaseck, B. / Carter, L. / Casanova, H. / Ferrante, J. et al. | 2003
- 10 pp.
-
Initial design of a test suite for automatic performance analysis toolsMohr, B. / Traff, J.L. et al. | 2003
- 10
-
The coherence predictor cache: a resource-efficient and accurate coherence prediction infrastructureNilsson, J. / Landin, A. / Stenstrom, P. et al. | 2003
- 10 pp.
-
So many states, so little time: verifying memory coherence in the Cray X1Abts, D. / Scott, S. / Lilja, D.J. et al. | 2003
- 10 pp.
-
Leveraging block decisions and aggregation in the ShareStreams QoS architectureKrishnamurthy, R. / Yalamanchili, S. / Schwan, K. / West, R. et al. | 2003
- 10 pp.
-
Extending OpenMP to support slipstream execution modeIbrahim, K.Z. / Byrd, G.T. et al. | 2003
- 10 pp.
-
Application/kernel cooperation towards the efficient execution of shared-memory parallel Java codesGuitart, J. / Martorell, X. / Torres, J. / Ayguade, E. et al. | 2003
- 10 pp.
-
A comparison between the Earth Simulator and AlphaServer systems using predictive application performance modelsKerbyson, D.J. / Hoisie, A. / Wasserman, H.J. et al. | 2003
- 10 pp.
-
Improving MPI-IO output performance with active buffering plus threadsXiaosong Ma, / Winslett, M. / Jonghyun Lee, / Shengke Yu, et al. | 2003
- 10 pp.
-
Hierarchical clustered register file organization for VLIW processorsZalamea, J. / Llosa, J. / Ayguade, E. / Valero, M. et al. | 2003
- 10 pp.
-
Natural block data decomposition for heterogeneous clustersDovolnov, E. / Kalinov, A. / Klimov, S. et al. | 2003
- 10 pp.
-
Supporting peer-2-peer interactions in the Consumer GridTaylor, I.J. / Rana, O.F. / Philp, R. / Wang, I. / Shields, M. et al. | 2003
- 10 pp.
-
Gene clustering using self-organizing maps and particle swarm optimizationXiang Xiao, / Dow, E.R. / Eberhart, R. / Miled, Z.B. / Oppelt, R.J. et al. | 2003
- 10 pp.
-
Peer-to-peer architectures for scalable, efficient and reliable media servicesKalogeraki, V. / Delis, A. / Gunopulos, D. et al. | 2003
- 10 pp.
-
Implementation and characterization of protein folding on a desktop computational grid. Is CHARMM a suitable candidate for the United Devices MetaProcessor?Uk, B. / Taufer, M. / Stricker, T. / Settanni, G. / Cavalli, A. et al. | 2003
- 10 pp.
-
Instruction-level distributed processing for symmetric-key cryptographyElbirt, A.J. / Paar, C. et al. | 2003
- 10 pp.
-
Constrained component deployment in wide-area networks using AI planning techniquesKichkaylo, T. / Ivan, A. / Karamcheti, V. et al. | 2003
- 10 pp.
-
An evaluation of current high-performance networksBell, C. / Bonachea, D. / Cote, Y. / Duell, J. / Hargrove, P. / Husbands, P. / Iancu, C. / Welcome, M. / Yelick, K. et al. | 2003
- 10 pp.
-
Optimal skewed tiling for cache locality enhancementZhiyuan Li, et al. | 2003
- 10 pp.
-
VOQ/sub SW/: a methodology to reduce HOL blocking in InfiniBand networksGomez, M.E. / Flich, J. / Robles, A. / Lopez, P. / Duato, J. et al. | 2003
- 10 pp.
-
Performance analysis of multilevel parallel applications on shared memory architecturesJost, G. / Haoqiang Jin, / Labarta, J. / Gimenez, J. / Caubet, J. et al. | 2003
- 10 pp.
-
A divided-screenwise hierarchical compositing for sort-last parallel volume renderingIno, F. / Sasaki, T. / Takeuchi, A. / Hagihara, K. et al. | 2003
- 10 pp.
-
Peer-to-peer over ad-hoc networks: (re)configuration algorithmsFranciscani, F.P. / Vasconcelos, M.A. / Couto, R.P. / Loureiro, A.A.F. et al. | 2003
- 10 pp.
-
Short vector code generation for the discrete Fourier transformFranchetti, F. / Puschel, M. et al. | 2003
- 10 pp.
-
A log-based write-back mechanism for cooperative cachingNarasimhan, S. / Sohoni, S. / Yiming Hu, et al. | 2003
- 10 pp.
-
A Web service-based experiment management system for the GridProdan, R. / Fahringer, T. et al. | 2003
- 10 pp.
-
Trust modeling for peer-to-peer based computing systemsAzzedin, F. / Maheswaran, M. et al. | 2003
- 10 pp.
-
The case for fair multiprocessor schedulingSrinivasan, A. / Holman, P. / Anderson, J.H. / Baruah, S. et al. | 2003
- 10 pp.
-
On the implementation of JavaSymphonyJugravu, A. / Fahringer, T. et al. | 2003
- 10 pp.
-
A criterion for cost optimal construction of irregular networksHorn, G. / Lysne, O. / Skeie, T. et al. | 2003
- 10 pp.
-
Continuous compilation: a new approach to aggressive and adaptive code transformationChilders, B. / Davidson, J.W. / Soffa, M.L. et al. | 2003
- 10 pp.
-
Supporting fully adaptive routing in InfiniBand networksMartinez, J.C. / Flich, J. / Robles, A. / Lopez, P. / Duato, J. et al. | 2003
- 10 pp.
-
Exploiting functional decomposition for efficient parallel processing of multiple data analysis queriesAndrade, H. / Kurc, T. / Sussman, A. / Saltz, J. et al. | 2003
- 10 pp.
-
DPS - Dynamic Parallel SchedulesGerlach, S. / Hersch, R.D. et al. | 2003
- 10 pp.
-
Dynamically loaded classes as shared libraries: an approach to improving virtual machine scalabilityWong, B. / Czajkowski, G. / Daynes, L. et al. | 2003
- 10 pp.
-
A fast algorithm for online placement and reorganization of replicated dataHonicky, R.J. / Miller, E.L. et al. | 2003
- 10 pp.
-
Parallel ROLAP data cube construction on shared-nothing multiprocessorsYing Chen, / Dehne, F. / Eavis, T. / Rau-Chaplin, A. et al. | 2003
- 10 pp.
-
SMP-aware message passing programmingTraff, J.L. et al. | 2003
- 10 pp.
-
Cost/performance tradeoffs in network interconnects for clusters of commodity PCsKurmann, C. / Rauch, F. / Stricker, T.M. et al. | 2003
- 10 pp.
-
Definition of a robustness metric for resource allocationAli, S. / Maciejewski, A.A. / Siegel, H.J. / Jong-Kook Kim, et al. | 2003
- 10 pp.
-
BLAM: a high-performance routing algorithm for virtual cut-through networksThottethodi, M. / Lebeck, A.R. / Mukherjee, S.S. et al. | 2003
- 10 pp.
-
Using the Common Component Architecture to design high performance scientific simulation codesLefantzi, S. / Ray, J. / Najm, H.N. et al. | 2003
- 10 pp.
-
The Generic Message Passing frameworkLie-Quan Lee, / Lumsdaine, A. et al. | 2003
- 10 pp.
-
Fast collective operations using shared and remote memory access protocols on clustersTipparaju, V. / Nieplocha, J. / Panda, D. et al. | 2003
- 10 pp.
-
Algorithmic concept recognition support for skeleton based parallel programmingDi Martino, B. et al. | 2003
- 10 pp.
-
Flexible and efficient parallel I/O for large-scale multi-component simulationsXiaosong Ma, / Xiangmin Jiao, / Campbell, M. / Winslett, M. et al. | 2003
- 10 pp.
-
Active memory techniques for ccNUMA multiprocessorsDaehyun Kim, / Chaudhuri, M. / Heinrich, M. et al. | 2003
- 10 pp.
-
Agent-based grid load balancing using performance-driven task schedulingJunwei Cao, / Spooner, D.P. / Jarvis, S.A. / Saini, S. / Nudd, G.R. et al. | 2003
- 10 pp.
-
The maximal utilization of processor co-allocation in multicluster systemsBucur, A.I.D. / Epema, D.H.J. et al. | 2003
- 10 pp.
-
Flexible coscheduling: mitigating load imbalance and improving utilization of heterogeneous resourcesFrachtenberg, E. / Feitelson, D.G. / Petrini, F. / Fernandez, J. et al. | 2003
- 10 pp.
-
A new DMA registration strategy for pinning-based high performance networksBell, C. / Bonachea, D. et al. | 2003
- 10 pp.
-
Transparent query caching in peer-to-peer overlay networksPatro, S. / Hu, Y.C. et al. | 2003
- 10 pp.
-
Load-balancing scatter operations for Grid computingGenaud, S. / Giersch, A. / Vivien, F. et al. | 2003
- 10 pp.
-
Performance evaluation of COWS under real parallel applicationsSancho, J.C. / Martinez, J.C. / Robles, A. / Lopez, P. / Flich, J. / Duato, J. et al. | 2003
- 10 pp.
-
Simulation of dynamic data replication strategies in Data GridsLamehamedi, H. / Zujun Shentu, / Szymanski, B. / Deelman, E. et al. | 2003
- 10 pp.
-
A hierarchical model for distributed collaborative computation in wireless sensor networksSingh, M. / Prasanna, V.K. et al. | 2003
- 10 pp.
-
AmpNet - a highly available cluster interconnection networkApon, A. / Wilbur, L. et al. | 2003
- 10 pp.
-
Model checking a cache coherence protocol for a Java DSM implementationJun Pang, / Fokkink, W. / Hofman, R. / Veldema, R. et al. | 2003
- 11 pp.
-
The improved Krylov subspace methods for large and sparse linear systems on bulk synchronous parallel architecturesTianruo Yang, L. / Brent, R.P. et al. | 2003
- 11 pp.
-
Active memory: Micron's YukonKirsch, G. et al. | 2003
- 11 pp.
-
A fine-grained parallel pipelined Karhunen-Loeve transformFleury, M. / Self, B. / Downton, A. et al. | 2003
- 11 pp.
-
New dynamic heuristics in the client-agent-server modelYves, C. / Jeannot, E. et al. | 2003
- 11 pp.
-
A genetic approach to planning in heterogeneous computing environmentsHan Yu, / Marinescu, D.C. / Wu, A.S. / Siegel, H.J. et al. | 2003
- 11 pp.
-
Fast and lock-free concurrent priority queues for multi-thread systemsSundell, H. / Tsigas, P. et al. | 2003
- 11 pp.
-
Managing heterogeneous resources in data mining applications on grids using XML-based metadataMastroianni, C. / Talia, D. / Trunfio, P. et al. | 2003
- 13 pp.
-
Simulation of meshes with separable buses by meshes with multiple partitioned busesMatsumae, S. et al. | 2003
- 13 pp.
-
FORGE: a framework for optimization of distributed embedded systems softwareCornea, R. / Dutt, N. / Gupta, R. / Krueger, I. / Nicolau, A. / Schmidt, D. / Shukla, S. et al. | 2003
- 14 pp.
-
To BSP or not to BSP in heterogeneous NOWsRosenberg, A.L. et al. | 2003
- 14 pp.
-
Optimal algorithms for scheduling divisible workloads on heterogeneous systemsBeaumont, O. / Legrand, A. / Robert, Y. et al. | 2003
- 14 pp.
-
Self-stabilizing protocols for maximal matching and maximal independent sets for ad hoc networksGoddard, W. / Hedetniemi, S.T. / Jacobs, D.P. / Srimani, P.K. et al. | 2003
- 15 pp.
-
HMPI: towards a message-passing library for heterogeneous networks of computersLastovetsky, A. / Reddy, R. et al. | 2003
- 15 pp.
-
Dynamic mapping in a heterogeneous environment with tasks having priorities and multiple deadlinesJong-Kook Kim, / Shivle, S. / Siegel, H.J. / Maciejewski, A.A. / Braun, T.D. / Schneider, M. / Tideman, S. / Chitta, R. / Dilmaghani, R.B. / Joshi, R. et al. | 2003
- 15 pp.
-
HARNESSing intranet computational power for legacy applications: the case of ship vulnerability evaluationMigliardi, M. / Zappaterra, S. / Maresca, M. / Bisso, C. et al. | 2003
- 16 pp.
-
Partitioned aperiodic scheduling on multiprocessorsAndersson, B. / Abdelzaher, T. / Jonsson, J. et al. | 2003
- 116
-
Real-time systems for industrial use: requirements for the futureGleixner, T. et al. | 2003
- 117
-
Hard real-time programming is differentPuschner, P. et al. | 2003
- 134
-
Programming metasystems with active objectsDi Santo, M. / Frattolillo, F. / Ranaldo, N. / Russo, W. / Zimeo, E. et al. | 2003
- 163
-
A load balancing mechanism with verificationGrosu, D. / Chronopoulos, A.T. et al. | 2003
- 164
-
An object-oriented framework for efficient data access in data intensive computingTuan-Anh Nguyen, / Kuonen, P. et al. | 2003
- 166
-
An object oriented framework for an associative model of parallel computationScherger, M. / Potter, J. / Baker, J. et al. | 2003
- 298
-
Author index| 2003
-
Proceedings International Parallel and Distributed Processing Symposium| 2003