Symmetric polarization aberration compensation method based on scalar aberration control for lithographic projection lens [8326-105] (English)
- New search for: Tu, Y.
- New search for: Wang, X.
- New search for: Li, S.
- New search for: Duan, L.
- New search for: Bu, P.
- New search for: SPIE (Society)
- New search for: Tu, Y.
- New search for: Wang, X.
- New search for: Li, S.
- New search for: Duan, L.
- New search for: Bu, P.
- New search for: Conley, W.
- New search for: SPIE (Society)
In:
Optical microlithography
;
8326 2T
;
2012
-
ISBN:
-
ISSN:
- Conference paper / Print
-
Title:Symmetric polarization aberration compensation method based on scalar aberration control for lithographic projection lens [8326-105]
-
Contributors:Tu, Y. ( author ) / Wang, X. ( author ) / Li, S. ( author ) / Duan, L. ( author ) / Bu, P. ( author ) / Conley, W. / SPIE (Society)
-
Conference:Conference; 25th, Optical microlithography ; 2012 ; San Jose, CA
-
Published in:Optical microlithography ; 8326 2TPROCEEDINGS- SPIE THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERS ; 8326 ; 8326 2T
-
Publisher:
- New search for: SPIE
-
Place of publication:Bellingham, Wash.
-
Publication date:2012-01-01
-
Size:8326 2T
-
Remarks:Includes bibliographical references and index
-
ISBN:
-
ISSN:
-
Type of media:Conference paper
-
Type of material:Print
-
Language:English
-
Keywords:
-
Source:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 83260A
-
A full-chip 3D computational lithography frameworkLiu, Peng / Zhang, Zhengfan / Lan, Song / Zhao, Qian / Feng, Mu / Liu, Hua-yu / Vellanki, Venu / Lu, Yen-wen et al. | 2012
- 83260B
-
Interactions between imaging layers during LPLE double patterning lithographyRobertson, Stewart / Wong, Patrick / De Bisschop, Peter / Vandenbroeck, Nadia / Wiaux, Vincent et al. | 2012
- 83260C
-
Stack effect implementation in OPC and mask verification for production environmentSungauer, Elodie / Robert, Frederic et al. | 2012
- 83260D
-
Design compliance for spacer is dielectric (SID) patterningLuk-Pat, Gerard / Miloslavsky, Alex / Painter, Ben / Lin, Li / De Bisschop, Peter / Lucas, Kevin et al. | 2012
- 83260E
-
Litho1-litho2 proximity differences for LELE and LPLE double patterning processesWong, Patrick / De Bisschop, Peter / Robertson, Stewart / Vandenbroeck, Nadia / Biafore, John / Wiaux, Vincent / Van de Kerkhove, Jeroen et al. | 2012
- 83260F
-
Characterization and decomposition of self-aligned quadruple patterning friendly layoutZhang, Hongbo / Du, Yuelin / Wong, Martin D. F. / Topaloglu, Rasit O. et al. | 2012
- 83260G
-
Source-mask optimization incorporating a physical resist model and manufacturability constraintsMülders, Thomas / Domnenko, Vitaliy / Küchler, Bernd / Stock, Hans-Jürgen / Klostermann, Ulrich / De Bisschop, Peter et al. | 2012
- 83260H
-
Computational process optimization of array edgesKüchler, Bernd / Shamsuarov, Artem / Mülders, Thomas / Klostermann, Ulrich / Yang, Seung-Hune / Moon, Seongho / Domnenko, Vitaliy / Park, Sung-Woon et al. | 2012
- 83260I
-
Mutual source, mask and projector pupil optimizationFühner, Tim / Evanschitzky, Peter / Erdmann, Andreas et al. | 2012
- 83260K
-
Application of illumination pupilgram control method with freeform illuminationMatsuyama, Tomoyuki / Kita, Naonori / Matsui, Ryota / Ikeda, Junji et al. | 2012
- 83260L
-
Extending 1.35 NA immersion lithography down to 1x nm production nodesBouchoms, Igor / Leenders, Martijn / Kuit, Jan Jaap / Kazinczi, Robert / de Graaf, Roelof / Paarhuis, Bart / Gunter, Pieter / Weichselbaum, Stefan / Beems, Marcel / Verhoeven, Martin et al. | 2012
- 83260M
-
Mix and match overlay optimization strategy for advanced lithography tools (193i and EUV)Laidler, David / D'havé, Koen / Hermans, Jan / Cheng, Shaunee et al. | 2012
- 83260N
-
Imaging optics setup and optimization on scanner for SMO generation processMatsuyama, Tomoyuki / Ogata, Taro / Mizuno, Yasushi / Ohmura, Yasuhiro et al. | 2012
- 83260O
-
Model based OPC for implant layer patterning considering wafer topography proximity (W3D) effectsPark, Songyi / Youn, Hyungjoo / Chung, Noyoung / Maeng, Jaeyeol / Lee, Sukjoo / Ku, Jahum / Xie, Xiaobo / Lan, Song / Feng, Mu / Vellanki, Venu et al. | 2012
- 83260Q
-
Process window control using CDU masterFujiwara, Tomoharu / Toki, Tsuyoshi / Tanaka, Daishi / Sato, Maki / Kosugi, Junichi / Tanaka, Rika / Sakasai, Naruo / Ohashi, Toshio / Nakasone, Ryoko / Tokui, Akira et al. | 2012
- 83260R
-
The development of a fast physical photoresist model for OPE and SMO applications from an optical engineering perspectiveFlagello, Donis / Matsui, Ryota / Yano, Kazuhiro / Matsuyama, Tomoyuki et al. | 2012
- 83260T
-
High overlay accuracy for double patterning using an immersion scannerShiba, Yuji / Makino, Katsushi / Morita, Yasuhiro / Motoyoshi, Chihaya / Yamamoto, Hajime / Udagawa, Jin / Kikuchi, Takahisa / Shirata, Yosuke / Ishii, Yuuki et al. | 2012
- 83260U
-
Modeling for field-to-field overlay errorD'havé, Koen / Cheng, Shaunee et al. | 2012
- 83260V
-
Free form source and mask optimization for negative tone resist development for 22nm node contact holesCoskun, Tamer H. / Dai, Huixiong / Kamat, Vishnu / Hsu, Ching-Mei / Santoro, Gaetano / Ngai, Chris / Reybrouck, Mario / Grozev, Grozdan / Huang, Hsu-Ting et al. | 2012
- 83260W
-
Process development using negative tone development for the dark field critical layers in a 28nm node processVersluijs, Janko / Truffert, Vincent / Murdoch, Gayle / De Bisschop, Peter / Trivkovic, Darko / Wiaux, Vincent / Kunnen, Eddy / Souriau, Laurent / Demuynck, Steven / Ercken, Monique et al. | 2012
- 83260X
-
Process requirements for pitch splitting LELE double patterning at advanced logic technology nodePeng, R. C. / Huang, I. H. / Liu, H. H. / Lee, H. J. / Lin, John / Lin, Arthur / Chang, Allen / Lin, Benjamin Szu-Min / Lalovic, Ivan et al. | 2012
- 83260Y
-
Scanning interference evanescent wave lithography for sub-22 nm generationsXie, Peng / Smith, Bruce W. et al. | 2012
- 83260Z
-
A solid immersion interference lithography system for imaging ultra-high numerical apertures with high-aspect ratios in photoresist using resonant enhancement from effective gain mediaMehrotra, Prateek / Mack, Chris A. / Blaikie, Richard J. et al. | 2012
- 83261A
-
Process optimization through model based SRAF printing predictionViswanathan, Ramya / Tirapu Azpiroz, Jaione / Selvam, Punitha et al. | 2012
- 83261B
-
Finite element models of lithographic mask topographyTyminski, Jacek K. / Popescu, Raluca / Burger, Sven / Pomplun, Jan / Zschiedrich, Lin / Matsuyama, Tomoyuki / Noda, Tomoya et al. | 2012
- 83261C
-
Resist loss in 3D compact modelingZheng, Xin / Huang, Jensheng / Chin, Fook / Kazarian, Aram / Kuo, Chun-Chieh et al. | 2012
- 83261D
-
Binary modeling method to check the sub-resolution assist features (SRAFs) printabilityLi, Jianliang / Gao, Weimin / Fan, Yongfa / Xue, Jing / Yan, Qiliang / Lucas, Kevin / De Bisschop, Peter / Melvin, Lawrence S. et al. | 2012
- 83261E
-
A study of vertical lithography for high-density 3D structuresHirai, Shin-Ichiro / Saito, Nobuyuki / Goto, Yoshio / Suda, Hiromi / Mori, Ken-Ichiro / Miura, Seiya et al. | 2012
- 83261F
-
A reliable higher power ArF laser with advanced functionality for immersion lithographyKurosu, Akihiko / Nakano, Masaki / Yashiro, Masanori / Yoshino, Masaya / Tsushima, Hiroaki / Masuda, Hiroyuki / Kumazaki, Takahito / Matsumoto, Shinichi / Kakizaki, Kouji / Matsunaga, Takashi et al. | 2012
- 83261G
-
Advanced light source technologies that enable high-volume manufacturing of DUV lithography extensionsCacouris, Theodore / Rao, Rajasekhar / Rokitski, Rostislav / Jiang, Rui / Melchior, John / Burfeindt, Bernd / O'Brien, Kevin et al. | 2012
- 83261H
-
Immersion and dry ArF scanners enabling 22nm HP production and beyondUehara, Yusaku / Ishikawa, Jun / Kohno, Hirotaka / Tanaka, Eiichiro / Ohba, Masanori / Shibazaki, Yuichi et al. | 2012
- 83261I
-
Driving imaging and overlay performance to the limits with advanced lithography optimizationMulkens, Jan / Finders, Jo / van der Laan, Hans / Hinnen, Paul / Kubis, Michael / Beems, Marcel et al. | 2012
- 83261J
-
Modelling of side-wall angle for optical proximity correction for self-aligned double patterningMoulis, Sylvain / Farys, Vincent / Belledent, Jérôme / Foucher, Johann et al. | 2012
- 83261K
-
New methodology to predict pattern collapse for 14nm and beyondDave, Aasutosh / Yoshimoto, Kenji / Sturtevant, John et al. | 2012
- 83261L
-
Building 3D aerial image in photoresist with reconstructed mask image acquired with optical microscopeChou, C. S. / Tang, Y. P. / Chu, F. S. / Huang, W. C. / Liu, R. G. / Gau, T. S. et al. | 2012
- 83261N
-
Wafer CD variation for random units of track and polarizationNing, Guoxiang / Ackmann, Paul / Richter, Frank / Kurth, Karin / Maelzer, Stephanie / Hsieh, Michael / Schurack, Frank / GN, Fang Hong et al. | 2012
- 83261O
-
Field performance availability improvements in lithography light sources using the iGLX Gas Management SystemO'Brien, Kevin / Riggs, Daniel J. / Thornes, Joshua / Han, Nora / Chakravorty, Amit / Belitz, Paul et al. | 2012
- 83261P
-
Can fast rule-based assist feature generation in random-logic contact layout provide sufficient process window?Omran, Ahmed / Lippincott, George / Schacht, Jochen / Lei, Junjiang / Hong, Le / Friedrich, Loran / Shen, Regina / Chou, Ryan et al. | 2012
- 83261Q
-
ZERODUR: bending strength data for tensile stress loaded support structuresBizjak, Tanja / Hartmann, Peter / Westerhoff, Thomas et al. | 2012
- 83261R
-
OPC model prediction capability improvements by accounting for mask 3D-EMF effectsCheng, Jacky / Schramm, Jessy / Zhang, Dong Qing / Foong, Yee Mei / Zuniga, Christian / Do, Thuy / Tejnil, Edita / Sturtevant, John / Chung, Angeline / Jantzen, Kenneth et al. | 2012
- 83261S
-
Defects reduction at BEOL interconnect within 300mm manufacturing environmentLee, Chien-Hsien S. / Wei, Yayi / Kelling, Mark / Law, ShaoBeng / Mobley, Morris / Chai, K. C. et al. | 2012
- 83261T
-
CDU prediction based on in-situ image measurementsBourov, A. / Cheng, J. R. / Duan, L. / Yang, J. / Min, J. et al. | 2012
- 83261U
-
Edge placement error reduction and ringing effect suppression using model based targeting techniquesCork, Chris / Li, Xiaohai / Jang, Stephen et al. | 2012
- 83261V
-
Source mask optimization methodology (SMO) and application to real full chip optical proximity correctionZhang, DongQing / Chua, GekSoon / Foong, YeeMei / Zou, Yi / Hsu, Stephen / Baron, Stanislas / Feng, Mu / Liu, Hua-Yu / Li, Zhipan / Schramm, Jessy et al. | 2012
- 83261W
-
Source optimization incorporating margin image average with conjugate gradient methodYu, Jue-Chin / Yu, Peichen / Chao, Hsueh-Yung et al. | 2012
- 83261X
-
Integration of pattern matching into verification flowsDesouky, Tamer / Saeed, Omnia et al. | 2012
- 83261Y
-
Advanced mask aligner lithography (AMALITH)Voelkel, Reinhard / Vogler, Uwe / Bramati, Arianna / Weichelt, Tina / Stuerzebecher, Lorenz / Zeitner, Uwe D. / Motzek, Kristian / Erdmann, Andreas / Hornung, Michael / Zoberbier, Ralph et al. | 2012
- 83261Z
-
Improved flexibility with grayscale fabrication of calcium fluoride homogenizersBrown, Jeremiah / Brakhage, Peter / Simmons, Lamarr / Mueller, Ralf et al. | 2012
- 83262A
-
Robust resolution enhancement optimization methods to process variations based on vector imaging modelMa, Xu / Li, Yanqiu / Guo, Xuejia / Dong, Lisong et al. | 2012
- 83262B
-
Gradient-based resolution enhancement optimization methods based on vector imaging modelMa, Xu / Li, Yanqiu / Dong, Lisong et al. | 2012
- 83262C
-
Consideration for application of NTD from OPC and simulation perspectiveKim, Mihye / Moon, James / Nam, Byoung-sub / Oh, Se-young / Yang, Hyun-jo / Yim, Donggyu et al. | 2012
- 83262D
-
Predictable turn-around time for post tape-out flowEndo, Toshikazu / Park, Minyoung / Ghosh, Pradiptya et al. | 2012
- 83262G
-
Lithographic tool dynamic coordinate calibration for CDU improvementYang, Zhiyong / Mao, Fanglin / Bourov, Anatoly / Cheng, Jianrui / He, Le et al. | 2012
- 83262H
-
RET and DFM techniques for sub 30nmYesilada, E. / Entradas, J. / Gardin, C. / Pena, J. N. / Villaret, A. / Farys, V. / Beylier, C. / Robert, F. / Postnikov, S. / Armeanu, A. M. et al. | 2012
- 83262J
-
Studies of the source and mask optimization for 20nm node in the active layerWei, Chih-I / Hsu, Ruei-Hung / Cheng, Yung-Feng / Chen, Ming-Jui et al. | 2012
- 83262K
-
Influence of SRAF size on main feature CD variation on advanced nodeLo, Wei Cyuan / Chen, Yi Chou / Cheng, Yung Feng / Chen, Ming Jui et al. | 2012
- 83262L
-
Complementary polarity exposures for cost-effective line-cutting in multiple patterning lithographyChen, Frederick T. / Chen, Wei-Su / Tsai, Ming-Jinn / Ku, Tzu-Kun et al. | 2012
- 83262N
-
Reconstruction of dynamical perturbations in optical systems by opto-mechanical simulation methodsGilbergs, H. / Wengert, N. / Frenner, K. / Eberhard, P. / Osten, W. et al. | 2012
- 83262O
-
Enhancing lithography process control through advanced, on-board beam parameter metrology for wafer level monitoring of light source parametersChoi, Jinphil / Seong, Nakgeuon / Zurita, Omar / Thornes, Joshua / Won, Yookeun / Rokitski, Slava / Kang, Youngseog / Burfeindt, Bernd / Park, Chanhoon et al. | 2012
- 83262P
-
Lithography target optimization with source-mask optimizationDeng, Yunfei / Coskun, Tamer H. / Kye, Jongwook / Levinson, Harry J. et al. | 2012
- 83262Q
-
Weighting evaluation for improving OPC model quality by using advanced SEM-contours from wafer and maskFuchimoto, Daisuke / Hibino, Daisuke / Shindo, Hiroyuki / Hojyo, Yutaka / Do, Thuy / Kusunadi, Ir / Sturtevant, John L. et al. | 2012
- 83262R
-
Full-chip correction of implant layer accounting for underlying topographyOh, Minchul / Youn, Hyungjoo / Chung, Noyoung / Maeng, Jaeyeol / Lee, Sukjoo / Ku, Jahum / Dave, Aasutosh / Sturtevant, John L. / Hollerbach, Uwe / Do, Thuy et al. | 2012
- 83262T
-
Symmetric polarization aberration compensation method based on scalar aberration control for lithographic projection lensTu, Yuanying / Wang, Xiangzhao / Li, Sikun / Duan, Lifeng / Bu, Peng et al. | 2012
- 83262V
-
Computing exact Fourier series coefficients of IC rectilinear polygons from low-resolution fast Fourier coefficientsScheibler, Robin / Hurley, Paul et al. | 2012
- 832601
-
Front Matter: Volume 8326| 2012
- 832602
-
Overlay metrology for low-k1: challenges and solutionsNeumann, Jens T. / Lee, Jongsu / Yang, Kiho / Lee, Byounghoon / Lee, Taehyeong / Park, Jeongsu / Lim, Chang-moon / Yim, Donggyu / Park, Sungki / Janda, Eric et al. | 2012
- 832604
-
Spacer process and alignment assessment for SADP processLattard, L. / McCallum, M. / Morton, R. / Fujiwara, T. / Makino, K. / Tokui, A. / Takahashi, N. / Sasamoto, S. et al. | 2012
- 832605
-
Extending the DRAM and FLASH memory technologies to 10nm and beyondKim, Kinam / Chung, U-In / Park, Youngwoo / Lee, Jooyoung / Yeo, Jeongho / Kim, Dongchan et al. | 2012
- 832607
-
Lens heating challenges for negative tone develop layers with freeform illumination: a comparative study of experimental vs. simulated resultsHalle, Scott / Crouse, Michael / Jiang, Aiqin / van Dommelen, Youri / Brunner, Tim / Minghetti, Blandine / Colburn, Matt / Zhang, Youping et al. | 2012
- 832609
-
Evaluation of various compact mask and imaging models for the efficient simulation of mask topography effects in immersion lithographyAgudelo, Viviana / Evanschitzky, Peter / Erdmann, Andreas / Fühner, Tim et al. | 2012
- 832610
-
Doubling the spatial frequency with cavity resonance lithographyLee, Hyesog / Verma, Ravi et al. | 2012
- 832611
-
Pupil wavefront manipulation for optical nanolithographyKempsell Sears, Monica / Bekaert, Joost / Smith, Bruce W. et al. | 2012
- 832612
-
14nm M1 triple patterningLi, Qiao / Ghosh, Pradiptya / Abercrombie, David / LaCour, Pat / Kanodia, Suniti et al. | 2012
- 832613
-
Sub-20nm logic lithography optimization with simple OPC and multiple pitch divisionSmayling, Michael C. / Axelrad, Valery / Tsujita, Koichiro / Yaegashi, Hidetami / Nakayama, Ryo / Oyama, Kenichi / Gyoda, Yuichi et al. | 2012
- 832614
-
Fast source independent estimation of lithographic difficulty supporting large scale source optimizationDeMaris, David / Gabrani, Maria / Sarkar, Sankha S. / Casati, Nathalie / Luijten, Ronald / Lai, Kafai / Tian, Kehan et al. | 2012
- 832615
-
Generator of predictive verification pattern using vision system based on higher-order local autocorrelationMatsunawa, Tetsuaki / Maeda, Shimon / Ichikawa, Hirotaka / Nojima, Shigeki / Tanaka, Satoshi / Mimotogi, Shoji / Nosato, Hirokazu / Sakanashi, Hidenori / Murakawa, Masahiro / Takahashi, Eiichi et al. | 2012
- 832616
-
Demonstration of an effective flexible mask optimization (FMO) flowBeylier, Charlotte / Martin, Nicolas / Farys, Vincent / Foussadier, Franck / Yesilada, Emek / Robert, Frederic / Baron, Stanislas / Dover, Russell / Liu, Hua-yu et al. | 2012
- 832617
-
Full field lithographical verification using scanner and mask intrafield fingerprintPlanchot, J. / Depre, L. / Yesilada, E. / Robert, F. / Sundermann, F. / Liu, H. Y. / Cai, L. / Chen, F. et al. | 2012
- 832618
-
Pattern selection in high-dimensional parameter spacesViehoever, Georg / Ward, Brian / Stock, Hans-Juergen et al. | 2012
- 832619
-
Multiple-image-depth modeling for hotspot and AF printing detectionsTang, Y. P. / Chou, C. S. / Huang, W. C. / Liu, R. G. / Gau, T. S. et al. | 2012
- 832620
-
Technological merits, process complexity, and cost analysis of self-aligned multiple patterningChen, Yijian / Cheng, Qi / Kang, Weiling et al. | 2012
- 832621
-
The near field characteristics of the focused field embedded in the super-RENS layer applied to lithographyAssafrao, A. C. / Pereira, S. F. / Urbach, H. P. et al. | 2012
- 832623
-
Impact of non-uniform polarized illumination on hyper-NA lithographyGuo, Xuejia / Li, Yanqiu et al. | 2012
- 832624
-
Three-dimensional polarization aberration in hyper-numerical aperture lithography opticsWang, Jingmin / Li, Yanqiu et al. | 2012
- 832625
-
The overlay performance optimization based on overlay manager systemSun, G. / Zhu, J. / Li, S. X. / Mao, F. L. / Duan, L. F. et al. | 2012
- 832626
-
A hybrid model/pattern based OPC approach for improved consistency and TATDesouky, Tamer et al. | 2012
- 832627
-
High hydrophobic topcoat approach for high volume production and yield enhancement of immersion lithographySagawa, Natsuko / Nakano, Katsushi / Ishii, Yuuki / Kusabiraki, Kazunori / Shima, Motoyuki et al. | 2012
- 832628
-
A computation of partially coherent imaging illuminated by a polarized source via the stack pupil shift matrix approachChen, Yu / Liu, Yong et al. | 2012
- 832629
-
In-situ measurement of lens aberrations in lithographic tools using CTC-based quadratic aberration modelWu, Xiaofei / Liu, Shiyuan / Xu, Shuang / Zhou, Xinjiang / Liu, Wei et al. | 2012
-
Interactions between imaging layers during LPLE double patterning lithography [8326-10]Robertson, S. / Wong, P. / De Bisschop, P. / Vandenbroeck, N. / Wiaux, V. / SPIE (Society) et al. | 2012
-
Application of illumination pupilgram control method with freeform illumination [8326-20]Matsuyama, T. / Kita, N. / Matsui, R. / Ikeda, J. / SPIE (Society) et al. | 2012
-
Process requirements for pitch splitting LELE double patterning at advanced logic technology node [8326-33]Peng, R.C. / Huang, I.H. / Liu, H.H. / Lee, H.J. / Lin, J. / Lin, A. / Chang, A. / Lin, B.S.-M. / Lalovic, I. / SPIE (Society) et al. | 2012
-
Sub-20nm logic lithography optimization with simple OPC and multiple pitch division [8326-39]Smayling, M.C. / Axelrad, V. / Tsujita, K. / Yaegashi, H. / Nakayama, R. / Oyama, K. / Gyoda, Y. / SPIE (Society) et al. | 2012
-
Advanced light source technologies that enable high-volume manufacturing of DUV lithography extensions [8326-52]Cacouris, T. / Rao, R. / Rokitski, R. / Jiang, R. / Melchior, J. / Burfeindt, B. / O Brien, K. / SPIE (Society) et al. | 2012
-
OPC model prediction capability improvements by accounting for mask 3D-EMF effects [8326-62]Cheng, J. / Schramm, J. / Zhang, D.Q. / Foong, Y.M. / Zuniga, C. / Do, T. / Tejnil, E. / Sturtevant, J. / Chung, A. / Jantzen, K. et al. | 2012
-
Advanced mask aligner lithography (AMALITH) [8326-69]Voelkel, R. / Vogler, U. / Bramati, A. / Weichelt, T. / Stuerzebecher, L. / Zeitner, U.D. / Motzek, K. / Erdmann, A. / Hornung, M. / Zoberbier, R. et al. | 2012
-
The overlay performance optimization based on overlay manager system [8326-76]Sun, G. / Zhu, J. / Li, S.X. / Mao, F.L. / Duan, L.F. / SPIE (Society) et al. | 2012
-
In-situ measurement of lens aberrations in lithographic tools using CTC-based quadratic aberration model [8326-80]Wu, X. / Liu, S. / Xu, S. / Zhou, X. / Liu, W. / SPIE (Society) et al. | 2012
-
Reconstruction of dynamical perturbations in optical systems by opto-mechanical simulation methods [8326-98]Gilbergs, H. / Wengert, N. / Frenner, K. / Eberhard, P. / Osten, W. / SPIE (Society) et al. | 2012
-
A full-chip 3D computational lithography framework [8326-09]Liu, P. / Zhang, Z. / Lan, S. / Zhao, Q. / Feng, M. / Liu, H. / Vellanki, V. / Lu, Y. / SPIE (Society) et al. | 2012
-
Design compliance for spacer is dielectric (SID) patterning [8326-12]Luk-Pat, G. / Miloslavsky, A. / Painter, B. / Lin, L. / De Bisschop, P. / Lucas, K. / SPIE (Society) et al. | 2012
-
Doubling the spatial frequency with cavity resonance lithography [8326-36]Lee, H. / Verma, R. / SPIE (Society) et al. | 2012
-
Pupil wavefront manipulation for optical nanolithography [8326-37]Sears, M.K. / Bekaert, J. / Smith, B.W. / SPIE (Society) et al. | 2012
-
ZERODUR: bending strength data for tensile stress loaded support structures [8326-61]Bizjak, T. / Hartmann, P. / Westerhoff, T. / SPIE (Society) et al. | 2012
-
Integration of pattern matching into verification flows [8326-68]Desouky, T. / Saeed, O. / SPIE (Society) et al. | 2012
-
Consideration for application of NTD from OPC and simulation perspective [8326-83]Kim, M. / Moon, J. / Nam, B. / Oh, S. / Yang, H. / Yim, D. / SPIE (Society) et al. | 2012
-
Lithographic tool dynamic coordinate calibration for CDU improvement [8326-90]Yang, Z. / Mao, F. / Bourov, A. / Cheng, J. / He, L. / SPIE (Society) et al. | 2012
-
RET and DFM techniques for sub 30nm [8326-91]Yesilada, E. / Entradas, J. / Gardin, C. / Pena, J.N. / Villaret, A. / Farys, V. / Beylier, C. / Robert, F. / Postnikov, S. / Armeanu, A.M. et al. | 2012
-
Litho1-litho2 proximity differences for LELE and LPLE double patterning processes [8326-13]Wong, P. / De Bisschop, P. / Robertson, S. / Vandenbroeck, N. / Biafore, J. / Wiaux, V. / Van de Kerkhove, J. / SPIE (Society) et al. | 2012
-
14nm M1 triple patterning [8326-38]Li, Q. / Ghosh, P. / Abercrombie, D. / LaCour, P. / Kanodia, S. / SPIE (Society) et al. | 2012
-
Building 3D aerial image in photoresist with reconstructed mask image acquired with optical microscope [8326-56]Chou, C.S. / Tang, Y.P. / Chu, F.S. / Huang, W.C. / Liu, R.G. / Gau, T.S. / SPIE (Society) et al. | 2012
-
Field performance availability improvements in lithography light sources using the iGLX Gas Management System [8326-59]O Brien, K. / Riggs, D.J. / Thornes, J. / Han, N. / Chakravorty, A. / Belitz, P. / SPIE (Society) et al. | 2012
-
The near field characteristics of the focused field embedded in the super-RENS layer applied to lithography [8326-72]Assafrao, A.C. / Pereira, S.F. / Urbach, H.P. / SPIE (Society) et al. | 2012
-
Lens heating challenges for negative tone develop layers with freeform illumination: a comparative study of experimental vs. simulated results [8326-06]Halle, S. / Crouse, M. / Jiang, A. / van Dommelen, Y. / Brunner, T. / Minghetti, B. / Colburn, M. / Zhang, Y. / SPIE (Society) et al. | 2012
-
Extending 1.35 NA immersion lithography down to 1x nm production nodes [8326-21]Bouchoms, I. / Leenders, M. / Kuit, J.J. / Kazinczi, R. / de Graaf, R. / Paarhuis, B. / Gunter, P. / Weichselbaum, S. / Beems, M. / Verhoeven, M. et al. | 2012
-
The development of a fast physical photoresist model for OPE and SMO applications from an optical engineering perspective [8326-27]Flagello, D. / Matsui, R. / Yano, K. / Matsuyama, T. / SPIE (Society) et al. | 2012
-
Multiple-image-depth modeling for hotspot and AF printing detections [8326-45]Tang, Y.P. / Chou, C.S. / Huang, W.C. / Liu, R.G. / Gau, T.S. / SPIE (Society) et al. | 2012
-
A reliable higher power ArF laser with advanced functionality for immersion lithography [8326-51]Kurosu, A. / Nakano, M. / Yashiro, M. / Yoshino, M. / Tsushima, H. / Masuda, H. / Kumazaki, T. / Matsumoto, S. / Kakizaki, K. / Matsunaga, T. et al. | 2012
-
New methodology to predict pattern collapse for 14nm and beyond [8326-55]Dave, A. / Yoshimoto, K. / Sturtevant, J. / SPIE (Society) et al. | 2012
-
Three-dimensional polarization aberration in hyper-numerical aperture lithography optics [8326-75]Wang, J. / Li, Y. / SPIE (Society) et al. | 2012
-
A hybrid model/pattern based OPC approach for improved consistency and TAT [8326-77]Desouky, T. / SPIE (Society) et al. | 2012
-
Robust resolution enhancement optimization methods to process variations based on vector imaging model [8326-81]Ma, X. / Li, Y. / Guo, X. / Dong, L. / SPIE (Society) et al. | 2012
-
Gradient-based resolution enhancement optimization methods based on vector imaging model [8326-82]Ma, X. / Li, Y. / Dong, L. / SPIE (Society) et al. | 2012
-
Mutual source, mask and projector pupil optimization [8326-18]Fuhner, T. / Evanschitzky, P. / Erdmann, A. / SPIE (Society) et al. | 2012
-
Fast source independent estimation of lithographic difficulty supporting large scale source optimization [8326-40]DeMaris, D. / Gabrani, M. / Sarkar, S.S. / Casati, N. / Luijten, R. / Lai, K. / Tian, K. / SPIE (Society) et al. | 2012
-
Pattern selection in high-dimensional parameter spaces [8326-44]Viehoever, G. / Ward, B. / Stock, H.-J. / SPIE (Society) et al. | 2012
-
Weighting evaluation for improving OPC model quality by using advanced SEM-contours from wafer and mask [8326-101]Fuchimoto, D. / Hibino, D. / Shindo, H. / Hojyo, Y. / Do, T. / Kusunadi, I. / Sturtevant, J.L. / SPIE (Society) et al. | 2012
-
Spacer process and alignment assessment for SADP process [8326-03]Lattard, L. / McCallum, M. / Morton, R. / Fujiwara, T. / Makino, K. / Tokui, A. / Takahashi, N. / Sasamoto, S. / SPIE (Society) et al. | 2012
-
Computational process optimization of array edges [8326-17]Kuchler, B. / Shamsuarov, A. / Mulders, T. / Klostermann, U. / Yang, S.-H. / Moon, S. / Domnenko, V. / Park, S.-W. / SPIE (Society) et al. | 2012
-
Scanning interference evanescent wave lithography for sub-22 nm generations (Best Student Paper Award) [8326-34]Xie, P. / Smith, B.W. / SPIE (Society) et al. | 2012
-
Driving imaging and overlay performance to the limits with advanced lithography optimization [8326-54]Mulkens, J. / Finders, J. / van der Laan, H. / Hinnen, P. / Kubis, M. / Beems, M. / SPIE (Society) et al. | 2012
-
Impact of non-uniform polarized illumination on hyper-NA lithography [8326-74]Guo, X. / Li, Y. / SPIE (Society) et al. | 2012
-
Lithography target optimization with source-mask optimization [8326-100]Deng, Y. / Coskun, T.H. / Kye, J. / Levinson, H.J. / SPIE (Society) et al. | 2012
-
Evaluation of various compact mask and imaging models for the efficient simulation of mask topography effects in immersion lithography [8326-08]Agudelo, V. / Evanschitzky, P. / Erdmann, A. / Fuhner, T. / SPIE (Society) et al. | 2012
-
Model based OPC for implant layer patterning considering wafer topography proximity (W3D) effects [8326-24]Park, S. / Youn, H. / Chung, N. / Maeng, J. / Lee, S. / Ku, J. / Xie, X. / Lan, S. / Feng, M. / Vellanki, V. et al. | 2012
-
Free form source and mask optimization for negative tone resist development for 22nm node contact holes [8326-31]Coskun, T.H. / Dai, H. / Kamat, V. / Hsu, C.-M. / Santoro, G. / Ngai, C. / Reybrouck, M. / Grozev, G. / Huang, H.-T. / SPIE (Society) et al. | 2012
-
Demonstration of an effective flexible mask optimization (FMO) flow [8326-42]Beylier, C. / Martin, N. / Farys, V. / Foussadier, F. / Yesilada, E. / Robert, F. / Baron, S. / Dover, R. / Liu, H. / SPIE (Society) et al. | 2012
-
Full field lithographical verification using scanner and mask intrafield fingerprint [8326-43]Planchot, J. / Depre, L. / Yesilada, E. / Robert, F. / Sundermann, F. / Liu, H.Y. / Cai, L. / Chen, F. / SPIE (Society) et al. | 2012
-
Process optimization through model based SRAF printing prediction [8326-46]Viswanathan, R. / Azpiroz, J.T. / Seivam, P. / SPIE (Society) et al. | 2012
-
Source mask optimization methodology (SMO) and application to real full chip optical proximity correction [8326-66]Zhang, D. / Chua, G. / Foong, Y. / Zou, Y. / Hsu, S. / Baron, S. / Feng, M. / Liu, H.-Y. / Li, Z. / Schramm, J. et al. | 2012
-
Studies of the source and mask optimization for 20nm node in the active layer [8326-94]Wei, C.I. / Hsu, R.H. / Cheng, Y.F. / Chen, M.J. / SPIE (Society) et al. | 2012
-
Computing exact Fourier series coefficients of IC rectilinear polygons from low-resolution fast Fourier coefficients [8326-107]Scheibler, R. / Hurley, P. / SPIE (Society) et al. | 2012
-
Generator of predictive verification pattern using vision system based on higher-order local autocorrelation [8326-41]Matsunawa, T. / Maeda, S. / Ichikawa, H. / Nojima, S. / Tanaka, S. / Mimotogi, S. / Nosato, H. / Sakanashi, H. / Murakawa, M. / Takahashi, E. et al. | 2012
-
Immersion and dry ArF scanners enabling 22nm HP production and beyond [8326-53]Uehara, Y. / Ishikawa, J. / Kohno, H. / Tanaka, E. / Ohba, M. / Shibazaki, Y. / SPIE (Society) et al. | 2012
-
Modelling of side-wall angle for optical proximity correction for self-aligned double patterning [8326-11]Moulis, S. / Farys, V. / Belledent, J. / Foucher, J. / SPIE (Society) et al. | 2012
-
Source optimization incorporating margin image average with conjugate gradient method [8326-67]Yu, J.-C. / Yu, P. / Chao, H.-Y. / SPIE (Society) et al. | 2012
-
A computation of partially coherent imaging illuminated by a polarized source via the stack pupil shift matrix approach [8326-79]Chen, Y. / Liu, Y. / SPIE (Society) et al. | 2012
-
Complementary polarity exposures for cost-effective line-cutting in multiple patterning lithography [8326-96]Chen, F.T. / Chen, W.-S. / Tsai, M.-J. / Ku, T.-K. / SPIE (Society) et al. | 2012
-
Symmetric polarization aberration compensation method based on scalar aberration control for lithographic projection lens [8326-105]Tu, Y. / Wang, X. / Li, S. / Duan, L. / Bu, P. / SPIE (Society) et al. | 2012
-
Extending the DRAM and FLASH memory technologies to 10nm and beyond (Invited Paper) [8326-04]Kim, K. / Chung, U.-I. / Park, Y. / Lee, J. / Yeo, J. / Kim, D. / SPIE (Society) et al. | 2012
-
Process window control using CDU master [8326-26]Fujiwara, T. / Toki, T. / Tanaka, D. / Sato, M. / Kosugi, J. / Tanaka, R. / Sakasai, N. / Ohashi, T. / Nakasone, R. / Tokui, A. et al. | 2012
-
Improved flexibility with grayscale fabrication of calcium fluoride homogenizers [8326-70]Brown, J. / Brakhage, P. / Simmons, L. / Mueller, R. / SPIE (Society) et al. | 2012
-
Technological merits, process complexity, and cost analysis of self-aligned multiple patterning [8326-71]Chen, Y. / Cheng, Q. / Kang, W. / SPIE (Society) et al. | 2012
-
Overlay metrology for low-k~1: challenges and solutions [8326-01]Neumann, J.T. / Lee, J. / Yang, K. / Lee, B. / Lee, T. / Park, J. / Lim, C. / Yim, D. / Park, S. / Janda, E. et al. | 2012
-
Stack effect implementation in OPC and mask verification for production environment [8326-89]Sungauer, E. / Robert, F. / SPIE (Society) et al. | 2012
-
Mix and match overlay optimization strategy for advanced lithography tools (193i and EUV) [8326-22]Laidler, D. / D have, K. / Hermans, J. / Cheng, S. / SPIE (Society) et al. | 2012
-
Resist loss in 3D compact modeling [8326-48]Zheng, X. / Huang, J. / Chin, F. / Kazarian, A. / Kuo, C.-C. / SPIE (Society) et al. | 2012
-
Edge placement error reduction and ringing effect suppression using model based targeting techniques [8326-65]Cork, C. / Li, X. / Jang, S. / SPIE (Society) et al. | 2012
-
High hydrophobic topcoat approach for high volume production and yield enhancement of immersion lithography [8326-78]Sagawa, N. / Nakano, K. / Ishii, Y. / Kusabiraki, K. / Shima, M. / SPIE (Society) et al. | 2012
-
Source-mask optimization incorporating a physical resist model and manufacturability constraints [8326-16]Mulders, T. / Domnenko, V. / Kuchler, B. / Stock, H.-J. / Klostermann, U. / De Bisschop, P. / SPIE (Society) et al. | 2012
-
Modeling for field-to-field overlay error [8326-30]D have, K. / Cheng, S. / SPIE (Society) et al. | 2012
-
Process development using negative tone development for the dark field critical layers in a 28nm node process [8326-32]Versluijs, J. / Truffert, V. / Murdoch, G. / De Bisschop, P. / Trivkovic, D. / Wiaux, V. / Kunnen, E. / Souriau, L. / Demuynck, S. / Ercken, M. et al. | 2012
-
Characterization and decomposition of self-aligned quadruple patterning friendly layout [8326-15]Zhang, H. / Du, Y. / Wong, M.D.F. / Topaloglu, R.O. / SPIE (Society) et al. | 2012
-
A solid immersion interference lithography system for imaging ultra-high numerical apertures with high-aspect ratios in photoresist using resonant enhancement from effective gain media [8326-35]Mehrotra, P. / Mack, C.A. / Blaikie, R.J. / SPIE (Society) et al. | 2012
-
Finite element models of lithographic mask topography [8326-47]Tyminski, J.K. / Popescu, R. / Burger, S. / Pomplun, J. / Zschiedrich, L. / Matsuyama, T. / Noda, T. / SPIE (Society) et al. | 2012
-
Wafer CD variation for random units of track and polarization [8326-58]Ning, G. / Ackmann, P. / Richter, F. / Kurth, K. / Maelzer, S. / Hsieh, M. / Schurack, F. / Gn, F.H. / SPIE (Society) et al. | 2012
-
Can fast rule-based assist feature generation in random-logic contact layout provide sufficient process window? [8326-60]Omran, A. / Lippincott, G. / Schacht, J. / Lei, J. / Hong, L. / Friedrich, L. / Shen, R. / Chou, R. / SPIE (Society) et al. | 2012
-
Defects reduction at BEOL interconnect within 300mm manufacturing environment [8326-63]Lee, C.-H.S. / Wei, Y. / Kelling, M. / Law, S. / Mobley, M. / Chai, K.C. / SPIE (Society) et al. | 2012
-
Predictable turn-around time for post tape-out flow [8326-85]Endo, T. / Park, M. / Ghosh, P. / SPIE (Society) et al. | 2012
-
Influence of SRAF size on main feature CD variation on advanced node [8326-95]Lo, W.C. / Chen, Y.C. / Cheng, Y.F. / Chen, M.J. / SPIE (Society) et al. | 2012
-
Full-chip correction of implant layer accounting for underlying topography [8326-103]Oh, M. / Youn, H. / Chung, N. / Maeng, J. / Lee, S. / Ku, J. / Dave, A. / Sturtevant, J.L. / Hollerbach, U. / Do, T. et al. | 2012
-
Imaging optics setup and optimization on scanner for SMO generation process [8326-23]Matsuyama, T. / Ogata, T. / Mizuno, Y. / Ohmura, Y. / SPIE (Society) et al. | 2012
-
High overlay accuracy for double patterning using an immersion scanner [8326-29]Shiba, Y. / Makino, K. / Morita, Y. / Motoyoshi, C. / Yamamoto, H. / Udagawa, J. / Kikuchi, T. / Shirata, Y. / Ishii, Y. / SPIE (Society) et al. | 2012
-
A study of vertical lithography for high-density 3D structures [8326-50]Hirai, S.-I. / Saito, N. / Goto, Y. / Suda, H. / Mori, K.-I. / Miura, S. / SPIE (Society) et al. | 2012
-
Binary modeling method to check the sub-resolution assist features (SRAFs0 printability [8326-49]Li, J. / Gao, W. / Fan, Y. / Xue, J. / Yan, Q. / Lucas, K. / De Bisschop, P. / Melvin, L.S. / SPIE (Society) et al. | 2012
-
CDU prediction based on in-situ image measurements [8326-64]Bourov, A. / Cheng, J.R. / Duan, L. / Yang, J. / Min, J. / SPIE (Society) et al. | 2012
-
Enhancing lithography process control through advanced, on-board beam parameter metrology for wafer level monitoring of light source parameters [8326-99]Choi, J. / Seong, N. / Zurita, O. / Thornes, J. / Won, Y. / Rokitski, S. / Kang, Y. / Burfeindt, B. / Park, C. / SPIE (Society) et al. | 2012