Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
Inhaltsverzeichnis
- 1743
-
Review Article - Extreme ultraviolet lithography: A reviewWu, Banqiu et al. | 2007
- 1762
-
Effect of process parameters on via formation in Si using deep reactive ion etchingAbhulimen, I.U. et al. | 2007
- 1771
-
Fabrication of a Fresnel zone plate through electron beam lithographic process and its application to measuring of critical dimension scanning electron microscope performanceKim, J. et al. | 2007
- 1776
-
Defects in HgTe grown by molecular beam epitaxy on (211)B-oriented CdZnTe substratesSelvig, E. et al. | 2007
- 1785
-
Enhanced field emission from carbon nanotubes with a thin layer of low work function barium strontium oxide surface coatingJin, Feng et al. | 2007
- 1789
-
Development of chemical-mechanical polished high-resolution zone platesRehbein, Stefan et al. | 2007
- 1794
-
Electrical properties and deep traps in ZnO films grown by molecular beam epitaxyPolyakov, A.Y. et al. | 2007
- 1799
-
Proposed single layer composite film used as high transmission phase shifting masks for the 32, 45, and 65 nm technology nodesLai, Fu-Der et al. | 2007
- 1804
-
Critical parameter determination of sonic flow controller diamond microtubes and micronozzlesMammana, S.S. et al. | 2007
- 1808
-
On-wafer monitoring of charge accumulation and sidewall conductivity in high-aspect-ratio contact holes during SiO2 etching processJinnai, Butsurin et al. | 2007
- 1814
-
Influence of RuO2 nanoparticles on electron emission from carbon nanotubesLiu, Huarong et al. | 2007
- 1819
-
Yield improvement of 0.13 mm Cu-low-k dual-damascene interconnection by organic cleaning processKim, Nam-Hoon et al. | 2007
- 1823
-
Growth of ZnSe nanowires by pulsed-laser depositionZhang, Tinwei et al. | 2007
- 1827
-
Fabrication of periodic microstructures on flexible polyimide membranesShelton, D.J. et al. | 2007
- 1832
-
Evolution of surface morphology of GaN thin films during photoelectrochemical etchingLeach, J.H. et al. | 2007
- 1836
-
C-doped semi-insulating GaN HFETs on sapphire substrates with a high breakdown voltage and low specific on-resistanceChoi, Y.C. et al. | 2007
- 1842
-
Large-scale growth of single-walled carbon nanotubes using cold-wall chemical vapor depositionShin, K.Y. et al. | 2007
- 1847
-
Formation of single crystal sulfur supersaturated silicon based junctions by pulsed laser meltingTabbal, Malek et al. | 2007
- 1853
-
Effects and mechanisms of nitrogen incorporation into hafnium oxide by plasma immersion implantationWong, Hei et al. | 2007
- 1859
-
Characterization of ruthenium thin films as capping layer for extreme ultraviolet lithography mask blanksYan, Pei-yang et al. | 2007
- 1867
-
Zero-dimensional analysis for discharge characteristicsPark, Wontaek et al. | 2007
- 1870
-
Highly selective zero-bias plasma etching of GaN over AlGaNSchuette, Michael L. et al. | 2007
- 1875
-
Structural and optical characterization of WO3 nanorods-films prepared by oblique angle depositionSmith, W. et al. | 2007
- 1882
-
Ion energy control at substrates during plasma etching of patterned structuresSilapunt, R. et al. | 2007
- 1888
-
Thermal model for a superstrate cooling apparatus for an integrated in-line manufacturing process for thin film photovoltaic devicesEnzenroth, R.A. et al. | 2007
- 1892
-
Structure and magnetic property of c-axis oriented L10-FePt nanoparticles on TiN-a-Si underlayersTsuji, Yoshiko et al. | 2007
- 1896
-
Influence of different surface-passivation dielectrics on high-temperature strain relaxation of AlGaN in AlGaN-GaN heterostructuresChen, D.J. et al. | 2007
- 1899
-
Effects of surface plasmon resonant scattering on the power conversion efficiency of organic thin-film solar cellsChang, Y.C. et al. | 2007
- 1903
-
Optimized plasma-deposited fluorocarbon coating for dry release and passivation of thin SU-8 cantileversKeller, Stephan et al. | 2007
- 1909
-
Structural characteristics of single-crystal nanowires grown by self-catalytic chemical vapor deposition methodHe, Maoqi et al. | 2007
- 1916
-
Atomic diffusion and electronic structure in Al0.52In0.48P-GaAs heterostructuresSmith, P.E. et al. | 2007
- 1922
-
Atomic layer deposited HfO2-HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structuresKim, Seokhoon et al. | 2007
- 1928
-
Mechanisms of porous dielectric film modification induced by reducing and oxidizing ash plasmasPosseme, N. et al. | 2007
- 1952
-
Papers from the 51st International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Preface| 2007
- 1953
-
Directed Self Assembly - Graphoepitaxial cylindrical block copolymer nanodomains evaluated as bit patterned media templateXiao, Shuaigang et al. | 2007
- 1958
-
Imaging layers for the directed assembly of block copolymer films: Dependence of the physical and chemical properties of patterned polymer brushes on brush molecular weightStuen, K.O. et al. | 2007
- 1963
-
Pattern transfer using poly(styrene-block-methyl methacrylate) copolymer films and reactive ion etchingLiu, Chi-Chun et al. | 2007
- 1969
-
Exploring the manufacturability of using block copolymers as resist materials in conjunction with advanced lithographic toolsCraig, Gordon S.W. et al. | 2007
- 1976
-
Submicron aligned wafer bonding via capillary forcesTupek, Michael R. et al. | 2007
- 1982
-
Creation of sub-20-nm contact using diblock copolymer on a 300 mm wafer for complementary metal oxide semiconductor applicationsLi, Wai-kin et al. | 2007
- 1985
-
Nanostructures using self-assembled multilayers as molecular rulers and etch resistsSrinivasan, C. et al. | 2007
- 1989
-
Rapid partial melt crystallization of silicon for monolithic three-dimensional integrationWitte, D.J. et al. | 2007
- 1993
-
Surface energy induced patterning of organic and inorganic materials on heterogeneous Si surfacesTao, L. et al. | 2007
- 1998
-
Electron Beam Lithography - Influence of the development process on ultimate resolution electron beam lithography, using ultrathin hydrogen silsesquioxane resist layersGrigorescu, Anda E. et al. | 2007
- 2004
-
Nanofabrication of high aspect ratio 24 nm x-ray zone plates for x-ray imaging applicationsFeng, Yan et al. | 2007
- 2008
-
Accurate control of remaining resist depth for nanoscale three-dimensional structures in electron-beam grayscale lithographyLee, S.-Y. et al. | 2007
- 2013
-
Optimal temperature for development of poly(methylmethacrylate)Cord, Bryan et al. | 2007
- 2017
-
Imaging with surface sensitive backscattered electronsLuo, T. et al. | 2007
- 2020
-
Novel magnetic microstigmator for electron beam astigmatism correction in the electron beam microcolumn systemRong, Rong et al. | 2007
- 2025
-
Using high-contrast salty development of hydrogen silsesquioxane for sub-10-nm half-pitch lithographyYang, Joel K.W. et al. | 2007
- 2030
-
Sub-30-nm hybrid lithography (electron beam-deep ultraviolet) and etch process for fully depleted metal oxide semiconductor transistorsPauliac-Vaujour, S. et al. | 2007
- 2034
-
Enhanced stitching for the fabrication of photonic structures by electron beam lithographyGnan, M. et al. | 2007
- 2038
-
Evaluation of hybrid lithography and mix and match scenarios for electron beam direct write applicationsHohle, C. et al. | 2007
- 2041
-
Patterning issues in superconducting nanowire single photon detector fabricationConstancias, C. et al. | 2007
- 2045
-
Influence of temperature on HSQ electron-beam lithographyHäffner, M. et al. | 2007
- 2049
-
Extracting the Boersch effect contribution from experimental energy spread measurements for Schottky electron emittersBronsgeest, M.S. et al. | 2007
- 2055
-
Multilayer phase-only diffraction gratings: Fabrication and application to extreme ultraviolet opticsSalmassi, Farhad et al. | 2007
- 2059
-
Process characterization of inductively coupled plasma etched silicon nanopillars by micro-RamanLaws, G.M. et al. | 2007
- 2064
-
Improving electron beam resist sensitivity by preexposure to deep ultraviolet radiationMurali, Raghunath et al. | 2007
- 2068
-
Fabrication of spiral-phase diffractive elements using scanning-electron-beam lithographyTsai, Hsin-Yu et al. | 2007
- 2072
-
Real-time spatial-phase locking for vector-scan electron beam lithographyYang, Yugu et al. | 2007
- 2077
-
Metal-semiconductor-metal electron detectorsAldana, Rafael et al. | 2007
- 2081
-
Nanometer-scale gaps in hydrogen silsesquioxane resist for T-gate fabricationJin, Niu et al. | 2007
- 2085
-
Effects of developer temperature on electron-beam-exposed hydrogen silsesquioxane resist for ultradense silicon nanowire fabricationChoi, Sookyung et al. | 2007
- 2089
-
EUV Lithography - Extreme ultraviolet lithography: From research to manufacturingFontaine, Bruno La et al. | 2007
- 2094
-
Nanoscale patterning in high resolution HSQ photoresist by interferometric lithography with tabletop extreme ultraviolet lasersWachulak, P.W. et al. | 2007
- 2098
-
Growth and printability of multilayer phase defects on extreme ultraviolet mask blanksLiang, Ted et al. | 2007
- 2104
-
Evaluation of surface roughness of Zerodur(R) substrates machined by Ar+ ion beam with energy of 3-10 keVKurashima, Yuichi et al. | 2007
- 2110
-
Figuring and smoothing capabilities of elastic emission machining for low-thermal-expansion glass opticsKanaoka, M. et al. | 2007
- 2114
-
Estimation of diffusion lengths of acid and quencher in chemically amplified resist on the basis of extreme ultraviolet exposure resultsTanaka, Yuusuke et al. | 2007
- 2118
-
In situ x-ray absorption near-edge structure analysis for extreme ultraviolet lithography projection optics contaminationNiibe, Masahito et al. | 2007
- 2123
-
Bit-array patterns with density over 1 Tbit-in.2 fabricated by extreme ultraviolet interference lithographySolak, Harun H. et al. | 2007
- 2127
-
Extreme ultraviolet lithography at IMEC: Shadowing compensation and flare mitigation strategyLorusso, G.F. et al. | 2007
- 2132
-
Advanced resist testing using the SEMATECH Berkeley extreme ultraviolet microfield exposure toolNaulleau, Patrick P. et al. | 2007
- 2136
-
Effects of photoacid generator incorporation into the polymer main chain on 193 nm chemically amplified resist behavior and lithographic performanceLee, Cheng-Tsung et al. | 2007
- 2140
-
Influence of solubility switching mechanism on resist performance in molecular glass resistsLawson, Richard A. et al. | 2007
- 2145
-
Progress in extreme ultraviolet interferometric and holographic lithographyIsoyan, A. et al. | 2007
- 2151
-
Dual-domain scanning illuminator for the SEMATECH Berkeley microfield exposure toolAnderson, Christopher N. et al. | 2007
- 2155
-
Analysis of Coulomb and Johnsen-Rahbek electrostatic chuck performance for extreme ultraviolet lithographySogard, M.R. et al. | 2007
- 2162
-
Ion Beam Lithography and X-ray Lithography - Production of noble gas ion beams in a focused ion beam machine using an electron beam ion trapUllmann, Falk et al. | 2007
- 2168
-
Fabrication of zinc nanotip arrays by ion beam sputteringChao, Liang-Chiun et al. | 2007
- 2171
-
Fabrication of three-dimensional structures of resist by proton beam writingFuruta, Yusuke et al. | 2007
- 2175
-
Focused ion beam iodine-enhanced etching of high aspect ratio holes in InP photonic crystalsCallegari, V. et al. | 2007
- 2180
-
Deposition of carbonaceous structures using focused Au and Si ion-beam-induced chemical vapor deposition methodsOkada, Ryo et al. | 2007
- 2184
-
Membrane folding by helium ion implantation for three-dimensional device fabricationArora, William J. et al. | 2007
- 2188
-
Design studies for a high brightness, energetic neutral atom source for proximity lithographyGuo, Hong-jie et al. | 2007
- 2192
-
Mechanical nanostepping for atom beam lithographyCraver, B. et al. | 2007
- 2196
-
Fabrication of a needle array using a Si gray mask for x-ray lithographyMekaru, Harutaka et al. | 2007
- 2202
-
Maskless Lithography - Challenges in 1 Teradot-in.2 dot patterning using electron beam lithography for bit-patterned mediaYang, XiaoMin et al. | 2007
- 2210
-
In situ monitoring and control of material growth for high resolution electron beam induced depositionDorp, W.F.van et al. | 2007
- 2215
-
Redeposition characteristics of focused ion beam milling for nanofabricationWinter, D.A.M.de et al. | 2007
- 2219
-
Resolution in focused electron- and ion-beam induced processingUtke, Ivo et al. | 2007
- 2224
-
Fabrication of 22 nm half-pitch silicon lines by single-exposure self-aligned spatial-frequency doublingRaub, Alex K. et al. | 2007
- 2228
-
Focused electron beam induced deposition of nickelPerentes, A. et al. | 2007
- 2233
-
Oxygen assisted focused electron beam induced deposition of Si-containing materials: Growth dynamicsPerentes, A. et al. | 2007
- 2239
-
High brightness 100-electron-beam source for high-resolution applicationsZhang, Yanxia et al. | 2007
- 2245
-
Cathode ray tube type electron gun as a source for multibeam electron lithographyBrom, A.J.van den et al. | 2007
- 2250
-
Annealing of electron beam induced deposits of platinum from Pt(PF3)4Ervin, Matthew H. et al. | 2007
- 2255
-
Electrospun DNA nanofibersBellan, Leon M. et al. | 2007
- 2258
-
Multiple beam sub-80-nm lithography with miniature electron beam column arraysSilver, C.S. et al. | 2007
- 2266
-
CsBr-GaN heterojunction photoelectron sourceMaldonado, Juan R. et al. | 2007
- 2271
-
Subwavelength proximity nanolithography using a plasmonic lensSeo, Sungkyu et al. | 2007
- 2277
-
Monolithic multichannel secondary electron detector for distributed axis electron beam lithography and inspectionPickard, D.S. et al. | 2007
- 2284
-
Atomic-force lithography with interferometric tip-to-substrate position metrologyMoon, Euclid E. et al. | 2007
- 2288
-
Modeling Simulation and CAD - Electron beam and optical proximity effect reduction for nanolithography: New resultsPeckerar, Martin et al. | 2007
- 2295
-
Image contrast slope and line edge roughness of chemically amplified resists for postoptical lithographyKozawa, Takahiro et al. | 2007
- 2301
-
Study of the assist features effect on the through focus behavior in isoline with an innovative methodLi, Jianliang et al. | 2007
- 2307
-
Pattern matching, simulation, and metrology of complex layouts fabricated by electron beam lithographyTsikrikas, N. et al. | 2007
- 2312
-
Nanoimprint and Soft Lithography - Visualization of mold filling stages in thermal nanoimprint by using pressure gradientsSchift, Helmut et al. | 2007
- 2317
-
Ultrastiff stage for imprint lithographyJeon, Y. et al. | 2007
- 2321
-
Chemical nanoimprint lithography for step-and-repeat Si patterningNamatsu, Hideo et al. | 2007
- 2325
-
Optimizing nanoimprint and transfer-bonding techniques for three-dimensional polymer microstructuresPark, Hyunsoo et al. | 2007
- 2329
-
Fabrication of terahertz hologramsWalsby, E.D. et al. | 2007
- 2333
-
UV-nanoimprint with the assistance of gas condensation at atmospheric environmental pressureHiroshima, Hiroshi et al. | 2007
- 2337
-
Fabrication of 3D-photonic crystals via UV-nanoimprint lithographyGlinsner, Thomas et al. | 2007
- 2341
-
Time dependent analysis of the resist deformation in thermal nanoimprintHirai, Yoshihiko et al. | 2007
- 2346
-
Nanoimprint lithography processes on 200 mm Si wafer for optical application: Residual thickness etching anisotropyChaix, N. et al. | 2007
- 2352
-
Stretching and selective immobilization of DNA in SU-8 micro- and nanochannelsYang, B. et al. | 2007
- 2357
-
Fabrication of three dimensional structures for an UV curable nanoimprint lithography mold using variable dose control with critical-energy electron beam exposureMohamed, K. et al. | 2007
- 2361
-
Sub-100-nm three-dimensional nanoimprint lithographyUnno, Noriyuki et al. | 2007
- 2365
-
Surface characterization of imprinted resist above glass transition temperatureLévéder, T. et al. | 2007
- 2370
-
Micro-nano mixture patterning by thermal-UV novel nanoimprintOkuda, Keisuke et al. | 2007
- 2373
-
Benchmarking of 50 nm features in thermal nanoimprintGourgon, C. et al. | 2007
- 2379
-
Coarse-grain simulation of viscous flow and stamp deformation in nanoimprintSirotkin, V. et al. | 2007
- 2384
-
Photopolymerization kinetic study of UV nanoimprint lithography dedicated resistsVoisin, P. et al. | 2007
- 2388
-
Bilayer metal wire-grid polarizer fabricated by roll-to-roll nanoimprint lithography on flexible plastic substrateAhn, Se Hyun et al. | 2007
- 2392
-
Impact of glass temperature for thermal nanoimprintScheer, H.-C. et al. | 2007
- 2396
-
Characterizing nanoimprint profile shape and polymer flow behavior using visible light angular scatterometryAl-Assaad, Rayan M. et al. | 2007
- 2402
-
Nonresidual layer imprinting and new replication capabilities demonstrated for fast thermal curable polydimethysiloxanesPina-Hernandez, Carlos et al. | 2007
- 2407
-
Simple fabrication of UV nanoimprint templates using critical energy electron beam lithographyJoo, Jaebum et al. | 2007
- 2412
-
Creating micro- and nanostructures on tubular and spherical surfacesLima, O. et al. | 2007
- 2419
-
Solid-state electrochemical nanoimprinting of copperSchultz, Peter L. et al. | 2007
- 2425
-
Economic approximate models for backscattered electronsRad, Leili Baghaei et al. | 2007
- 2430
-
Improved release strategy for UV nanoimprint lithographyGaridel, Sophie et al. | 2007
- 2435
-
Viscosity measurement of nanoimprint lithography resists with a rheological nanoindenterSvintsov, A.A. et al. | 2007
- 2439
-
Optical Lithography - Phase control in multiexposure spatial frequency multiplicationZhao, Yong et al. | 2007
- 2444
-
Study of process contributions to total overlay error budget for sub-60-nm memory devicesShin, Jangho et al. | 2007
- 2447
-
Deep ultraviolet photolithography capability of ZEP520A electron beam resist for mix and match lithographyBrown, Devin K. et al. | 2007
- 2451
-
Photolithography using an optical microscopeGonski, Ron et al. | 2007
- 2453
-
Experimental demonstration of dark field illumination using contact hole featuresCrouse, Michael M. et al. | 2007
- 2461
-
Double patterning overlay budget for 45 nm technology node single and double mask approachRigolli, Pierluigi et al. | 2007
- 2466
-
Application of contrast enhancement layer to 193 nm lithographyKim, Ryoung-han et al. | 2007
- 2471
-
Lithography, plasmonics, and subwavelength aperture exposure technologyNgu, Yves et al. | 2007
- 2476
-
Laser interferometric nanolithography using a new positive chemical amplified resistLuttge, R. et al. | 2007
- 2481
-
Photoresist Technology - Acid distribution in chemically amplified extreme ultraviolet resistKozawa, Takahiro et al. | 2007
- 2486
-
Dissolution characteristics and reaction kinetics of molecular resists for extreme-ultraviolet lithographyToriumi, Minoru et al. | 2007
- 2490
-
Are extreme ultraviolet resists ready for the 32 nm node?Petrillo, Karen et al. | 2007
- 2496
-
Measurements of acid generation by extreme ultraviolet irradiation in lithographic filmsGlodde, Martin et al. | 2007
- 2504
-
Geometry impact on ultrahigh resolution pattern collapseJouve, A. et al. | 2007
- 2508
-
Pixelated chemically amplified resists: Investigation of material structure on the spatial distribution of photoacids and line edge roughnessLa, Young-Hye et al. | 2007
- 2514
-
Direct measurement of the spatial extent of the in situ developed latent image by neutron reflectivityPrabhu, Vivek M. et al. | 2007
- 2521
-
Metrology - High throughput defect detection with multiple parallel electron beamsHimbergen, H.M.P.van et al. | 2007
- 2526
-
Photoresist cross-sectioning with negligible damage using a dual-beam FIB-SEM: A high throughput method for profile imagingClarke, James S. et al. | 2007
- 2531
-
Nanodevices - Hybrid semiconductor-nanoelectronic circuits: Freeing advanced lithography from the alignment accuracy burdenLikharev, Konstantin K. et al. | 2007
- 2537
-
Photolithographic synthesis of high-density DNA probe arrays: Challenges and opportunitiesPawloski, Adam R. et al. | 2007
- 2547
-
Helium ion microscope invasiveness and imaging study for semiconductor applicationsLivengood, Richard H. et al. | 2007
- 2553
-
Dry etch release processes for micromachining applicationsZhu, Tongtong et al. | 2007
- 2558
-
Mechanical properties of suspended graphene sheetsFrank, I.W. et al. | 2007
- 2562
-
Surface gate and contact alignment for buried, atomically precise scanning tunneling microscopy-patterned devicesFuechsle, Martin et al. | 2007
- 2568
-
Novel coexisted sol-gel derived poly-Si-oxide-nitride-oxide-silicon type memoryYou, Hsin-Chiang et al. | 2007
- 2572
-
Adaptive wiring for 20 nm scale epitaxial silicon Ohmic contacts to silicon nanowiresRooks, M.J. et al. | 2007
- 2577
-
Hybrid carbon nanotube-silicon complementary metal oxide semiconductor circuitsMeric, I. et al. | 2007
- 2581
-
Hydrogen plasma-enhanced atomic layer deposition of copper thin filmsWu, Liqi et al. | 2007
- 2586
-
Emission characteristics of Au60Be40 and Au62Si23Be15 liquid metal ion sourcesSchwind, G.A. et al. | 2007
- 2593
-
Fabrication of ultrahigh aspect ratio freestanding gratings on silicon-on-insulator wafersAhn, Minseung et al. | 2007
- 2598
-
Soft x-ray imaging of spin dynamics at high spatial and temporal resolutionMesler, Brooke L. et al. | 2007
- 2603
-
Ultrathin magnetic multilayer films for low-field microwave notch filtersKuanr, Bijoy K. et al. | 2007
- 2607
-
Study of fluorine bombardment on the electrical properties of AlGaN-GaN heterostructuresBasu, Anirban et al. | 2007
- 2611
-
Prospects for nanowire sculptured-thin-film devicesPurse, Sean M. et al. | 2007
- 2616
-
First-principles calculation of electronic structure and magnetic properties of copper adsorbed polar-ZnO surfaceKim, Yoon-Suk et al. | 2007
- 2619
-
Nickel nanowires for planer microwave circuit applications and characterizationMarson, Ryan L. et al. | 2007
- 2624
-
In situ visualization of local electric field in an ultrasharp tungsten emitter under a low voltage scanning transmission electron microscopeFujita, Jun-Ichi et al. | 2007
- 2628
-
Photonics - Fabrication and tuning of nanoscale metallic ring and split-ring arraysSheridan, A.K. et al. | 2007
- 2632
-
Fabrication of two dimensional GaN nanophotonic crystals (31)Rong, Bifeng et al. | 2007
- 2637
-
Semitransparent Cu electrode on a flexible substrate and its application in organic light emitting diodesKang, Myung-Gyu et al. | 2007
- 2642
-
Photoluminescence enhancement in metallic nanocomposite printable polymerReboud, V. et al. | 2007
- 2645
-
Fabrication of high aspect ratio Si nanogratings with smooth sidewalls for a deep UV-blocking particle filterMukherjee, Pran et al. | 2007
- 2649
-
V-groove plasmonic waveguides fabricated by nanoimprint lithographyFernandez-Cuesta, Irene et al. | 2007
- 2654
-
58 nm half-pitch plastic wire-grid polarizer by nanoimprint lithographyChen, Lei et al. | 2007
- 2658
-
Optimization of hydrogen silsesquioxane for photonic applicationsHolzwarth, C.W. et al. | 2007
- 2662
-
Membrane stacking: A new approach for three-dimensional nanostructure fabricationPatel, Amil A. et al. | 2007
- 2665
-
AUTHOR INDEX| 2007
- 2668
-
INDEX - Summary of the Physics and Astronomy Classification Scheme -- 2006| 2007
- 2669
-
PACS Headings Used in the Present Index| 2007
- 2674
-
Subject Index to Volume 25| 2007
- 2715
-
Author Index to Volume 25| 2007
- 2744
-
Materials Index to Volume 25| 2007