CD uniformity improvement of through-pitch contact-hole patterning for advanced logic devices [7971-62] (Englisch)
- Neue Suche nach: Kuribayashi, T.
- Neue Suche nach: Matsui, Y.
- Neue Suche nach: Yoshimochi, K.
- Neue Suche nach: Nagahara, S.
- Neue Suche nach: Uchiyama, T.
- Neue Suche nach: SPIE (Society)
- Neue Suche nach: Kuribayashi, T.
- Neue Suche nach: Matsui, Y.
- Neue Suche nach: Yoshimochi, K.
- Neue Suche nach: Nagahara, S.
- Neue Suche nach: Uchiyama, T.
- Neue Suche nach: Raymond, Christopher J.
- Neue Suche nach: SPIE (Society)
In:
Metrology, inspection, and process control for microlithography
;
7971 1P
;
2011
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Print
-
Titel:CD uniformity improvement of through-pitch contact-hole patterning for advanced logic devices [7971-62]
-
Beteiligte:Kuribayashi, T. ( Autor:in ) / Matsui, Y. ( Autor:in ) / Yoshimochi, K. ( Autor:in ) / Nagahara, S. ( Autor:in ) / Uchiyama, T. ( Autor:in ) / Raymond, Christopher J. / SPIE (Society)
-
Kongress:Conference; 25th, Metrology, inspection, and process control for microlithography ; 2011 ; San Jose, CA
-
Erschienen in:PROCEEDINGS - SPIE THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING ; 7971 ; 7971 1P
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsort:Bellingham, Wash.
-
Erscheinungsdatum:01.01.2011
-
Format / Umfang:7971 1P
-
Anmerkungen:Includes bibliographical references and index.
-
ISBN:
-
ISSN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 79710A
-
Robust edge detection with considering three-dimensional sidewall feature by CD-SEMYamaguchi, A. / Koyanagi, H. / Tanaka, J. / Inoue, O. / Kawada, H. et al. | 2011
- 79710B
-
Tool-to-tool matching issues due to photoresist shrinkage effectsBunday, Benjamin / Cordes, Aaron / Hartig, Carsten / Allgair, John / Vaid, Alok / Solecky, Eric / Rana, Narender et al. | 2011
- 79710C
-
Influence of the charging effect on the precision of measuring EUV mask featuresNishiyama, Yasushi / Hakii, Hidemitsu / Yonekura, Isao / Tanaka, Keishi / Kikuchi, Yasutaka et al. | 2011
- 79710D
-
Optical illumination optimization for patterned defect inspectionBarnes, Bryan M. / Quinthanilha, Richard / Sohn, Yeung-Joon / Zhou, Hui / Silver, Richard M. et al. | 2011
- 79710E
-
Wafer noise models for defect inspectionCrimmins, Timothy F. et al. | 2011
- 79710F
-
Quantitative measurement of voltage contrast in SEM images for in-line resistance inspection of incomplete contactMatsui, Miyako / Yano, Tasuku / Odaka, Takayuki et al. | 2011
- 79710G
-
Characterization of EUV resists for defectivity at 32nmMontal, Ofir / Dolev, Ido / Rosenzweig, Moshe / Dotan, Kfir / Meshulach, Doron / Adan, Ofer / Levi, Shimon / Cai, Man-Ping / Bencher, Chris / Ngai, Chris S. et al. | 2011
- 79710H
-
OPC verification and hotspot management for yield enhancement through layout analysisYoo, Gyun / Kim, Jungchan / Lee, Taehyeong / Jung, Areum / Yang, Hyunjo / Yim, Donggyu / Park, Sungki / Maruyama, Kotaro / Yamamoto, Masahiro / Vikram, Abhishek et al. | 2011
- 79710I
-
A new methodology for TSV array inspectionFujimori, Yoshihiko / Tsuto, Takashi / Kudo, Yuji / Inoue, Takeshi / Okamoto, Kazuya et al. | 2011
- 79710J
-
Statistical-noise effect on power spectrum of line-edge and line-width roughness with long-range correlationHiraiwa, Atsushi / Nishida, Akio et al. | 2011
- 79710K
-
Reduction of SEM noise and extended application to prediction of CD uniformity and its experimental validationKim, Hoyeon / Hwang, Chan / Oh, Seok-hwan / Yeo, Jeongho / Kim, Young hee et al. | 2011
- 79710M
-
High-precision edge-roughness measurement of transistor gates using three-dimensional electron microscopy combined with marker-assisted image alignmentOno, Shiano / Yamane, Miyuki / Ogasawara, Mitsuo / Katakami, Akira / Yugami, Jiro / Koguchi, Masanari / Shinada, Hiroyuki / Kakibayashi, Hiroshi / Ikeda, Kazuto / Ohji, Yuzuru et al. | 2011
- 79710N
-
Mueller matrix ellipsometry of artificial non-periodic line edge roughness in presence of finite numerical apertureFoldyna, Martin / Germer, Thomas A. / Bergner, Brent C. et al. | 2011
- 79710O
-
A CD-gap-free contour extraction technique for OPC model calibrationShibahara, T. / Minakawa, T. / Oikawa, M. / Shindo, H. / Sugahara, H. / Hojyo, Y. et al. | 2011
- 79710P
-
Fast and accurate calibration for OPC process-window model using inverse weight algorithmParikh, Ashesh et al. | 2011
- 79710Q
-
Contact edge roughness (CER) characterization and modeling: effects of dose on CER and critical dimension (CD) variationMurugesan Kuppuswamy, Vijaya-Kumar / Constantoudis, Vassilios / Gogolides, Evangelos / Vaglio Pret, Alessandro / Gronheid, Roel et al. | 2011
- 79710S
-
Hybrid CD metrology concept compatible with high-volume manufacturingFoucher, J. / Faurie, P. / Dourthe, L. / Irmer, B. / Penzkofer, C. et al. | 2011
- 79710T
-
TSOM method for semiconductor metrologyAttota, Ravikiran / Dixson, Ronald G. / Kramar, John A. / Potzick, James E. / Vladár, András E. / Bunday, Benjamin / Novak, Erik / Rudack, Andrew et al. | 2011
- 79710W
-
Experimental validation of 2D profile photoresist shrinkage modelBunday, Benjamin / Cordes, Aaron / Self, Andy / Ferry, Lorena / Danilevsky, Alex et al. | 2011
- 79710X
-
Surface modification of EUVL mask blanks by e-beamKadaksham, Arun John / Laursen, Thomas / Owen, Timothy / Underwood, Jon / Rastegar, Abbas et al. | 2011
- 79710Y
-
High-throughput critical dimensions uniformity (CDU) measurement of two-dimensional (2D) structures using scanning electron microscope (SEM) systemsFullam, Jennifer / Boye, Carol / Standaert, Theodorus / Gaudiello, John / Tomlinson, Derek / Xiao, Hong / Fang, Wei / Zhang, Xu / Wang, Fei / Ma, Long et al. | 2011
- 79710Z
-
Verification and extension of the MBL technique for photo resist pattern shape measurementIsawa, Miki / Tanaka, Maki / Kazumi, Hideyuki / Shishido, Chie / Hamamatsu, Akira / Hasegawa, Norio / De Bisschop, Peter / Laidler, David / Leray, Philippe / Cheng, Shaunee et al. | 2011
- 79711A
-
Artifacts of the AFM image due to the probe controlling parametersItoh, Hiroshi / Wang, Chunmei / Takagi, Hideki et al. | 2011
- 79711C
-
High sensitive and fast scanner focus monitoring method using forbidden pitch patternHeo, Jinseok / Yeo, Jeong-Ho / Kim, Younghee et al. | 2011
- 79711D
-
Overlay improvement roadmap: strategies for scanner control and product disposition for 5-nm overlayFelix, Nelson M. / Gabor, Allen H. / Menon, Vinayan C. / Longo, Peter P. / Halle, Scott D. / Koay, Chiew-seng / Colburn, Matthew E. et al. | 2011
- 79711E
-
Accuracy of diffraction-based and image-based overlayKe, Chih-Ming / Huang, Guo-Tsai / Huang, Jacky / Lee, Rita et al. | 2011
- 79711F
-
Investigation on accuracy of process overlay measurementHwang, Chan / Lee, Jeongjin / Lee, Seungyoon / Yeo, Jeongho / Kim, Yeonghee / Lim, Hongmeng / Choi, Dongsub et al. | 2011
- 79711G
-
Improved overlay control using robust outlier removal methodsRobinson, John C. / Fujita, Osamu / Kurita, Hiroyuki / Izikson, Pavel / Klein, Dana / Tarshish-Shapir, Inna et al. | 2011
- 79711H
-
Wafer quality analysis of various scribe line mark designsZhou, Jianming / Hickman, Craig / He, Yuan / Light, Scott / Lamonds, Lucas / deVilliers, Anton et al. | 2011
- 79711M
-
Overlay and focus stability control for 28-nm nodes on immersion scannersHuang, Guo-Tsai / Chen, Kai-Hsiung / Chen, Li-Jui / Gau, Tsai-Sheng / Jungblut, Reiner / Chen, Albert / Lee, Ethan / Wang, Lester / Un, Miranda / Tzeng, Wei-Shun et al. | 2011
- 79711N
-
Towards 22 nm: fast and effective intra-field monitoring and optimization of process windows and CDUCohen, Yaron / Finders, Jo / Knops, Roel / Mouraille, Orion / Minnaert-Janssen, Ingrid / Duray, Frank / Mos, Evert / Kremer, Alexander / Sagiv, Amir / Mangan, Shmoolik et al. | 2011
- 79711O
-
Automatic optimization of metrology sampling scheme for advanced process controlChue, Chuei-Fu / Huang, Chun-Yen / Shih, Chiang-Lin et al. | 2011
- 79711P
-
CD uniformity improvement of through-pitch contact-hole patterning for advanced logic devicesKuribayashi, Takaaki / Matsui, Yoshinori / Yoshimochi, Kazuyuki / Nagahara, Seiji / Uchiyama, Takayuki et al. | 2011
- 79711Q
-
Sensitivity of LWR and CD linearity to process conditions in active areaAyal, Guy / Malkes, Elena / Aharoni, Efraim / Levi, Shimon / Siany, Amit / Adan, Ofer / Shauly, Eitan / Shacham-Diamand, Yosi et al. | 2011
- 79711R
-
Real-time detection system of defects on a photo mask by using the light scattering and interference methodJo, Jae Heung / Lee, Sangon / Wee, Hae Sung / Kim, Jong Soo et al. | 2011
- 79711S
-
Improved secondary electron extraction efficiency model for accurate measurement of narrow-space patterns using model-based library matchingShishido, Chie / Tanaka, Maki / Hamamatsu, Akira et al. | 2011
- 79711T
-
Scatterometry simulator using GPU and evolutionary algorithmShirasaki, Hirokimi et al. | 2011
- 79711U
-
Simulation of non-uniform wafer geometry and thin film residual stress on overlay errorsVeeraraghaven, Sathish / Turner, Kevin T. / Sinha, Jaydeep et al. | 2011
- 79711V
-
Study of scanner stage vibration by using scatterometryJiang, Dabai / Zhou, Wenzhan / Hsieh, Michael / Lin, Qunying et al. | 2011
- 79711Y
-
Advancements of diffraction-based overlay metrology for double patterningLi, Jie / Kritsun, Oleg / Liu, Yongdong / Dasari, Prasad / Weher, Ulrich / Volkman, Catherine / Mazur, Martin / Hu, Jiangtao et al. | 2011
- 79711Z
-
CD-SEM image-distortion measured by view-shift methodInoue, Osamu / Kawasaki, Takahiro / Matsui, Miyako / Kawada, Hiroki et al. | 2011
- 79712A
-
Expanding the applications of computational lithography and inspection (CLI) in mask inspection, metrology, review, and repairPang, Linyong / Peng, Danping / Hu, Peter / Chen, Dongxue / He, Lin / Li, Ying / Clifford, Chris / Tolani, Vikram et al. | 2011
- 79712B
-
Methodology for overlay mark selectionHuang, Chin-Chou Kevin / Huang, Chao-Tien Healthy / Golotsvan, Anna / Tien, David / Chiu, Chui-Fu / Huang, Chun-Yen / Wu, Wen-Bin / Shih, Chiang-Lin et al. | 2011
- 79712C
-
Process solutions for reducing PR residue over non-planar waferLin, C. H. / Huang, C. H. / Yang, Elvis / Yang, T. H. / Chen, K. C. / Lu, Chih-Yuan et al. | 2011
- 79712D
-
Impact of pellicle on overlay in double patterning lithographyLoeffler, Oliver / Laske, Frank / Ferber, Michael / Roeth, Klaus-Dieter / Chua, Lin / Jin, You Seung / Marcuccilli, Gino / Nagaswami, Venkat et al. | 2011
- 79712E
-
3D features measurement using YieldStar, an angle resolved polarized scatterometerCharley, Anne-Laure / Leray, Philippe / D'havé, Koen / Cheng, Shaunee / Hinnen, Paul / Li, Fahong / Vanoppen, Peter / Dusa, Mircea et al. | 2011
- 79712G
-
The assessment of the impact of mask pattern shape variation on the OPC-modeling by using SEM-Contours from wafer and maskHibino, Daisuke / Hojyo, Yutaka / Shindo, Hiroyuki / Do, Thuy / Dave, Aasutosh / Lin, Tim / Kusnadi, Ir / Sturtevant, John L. et al. | 2011
- 79712H
-
Calibration studies of pattern top resist loss detection by CD-SEM for advanced lithography processIshimoto, Toru / Isawa, Miki / Tanaka, Maki / Cheng, Shaunee et al. | 2011
- 79712I
-
Approaches to airborne molecular contamination assessmentRiddle Vogt, Sarah / Landoni, Cristian et al. | 2011
- 79712J
-
Metrology of micro-step height structures using 3D scatterometry in 4x-nm advance DRAMDuan, Mason / Chen, Clark / Hsu, Calvin / Wang, Elvis / Xu, ZhiQing / Yu, Elsie / Yuan, Qiongyan / Yoo, Sungchul / Tan, Zhengquan et al. | 2011
- 79712K
-
A study and simulation of the impact of high-order aberrations to overlay error distributionSun, G. / Wang, F. / Zhou, C. et al. | 2011
- 79712L
-
Wafer-edge defect reduction for tri-layer materials in BEOL applicationsDu, J. R. / Huang, C. H. / Yang, Elvis / Yang, T. H. / Chen, K. C. / Lu, Chih-Yuan et al. | 2011
- 79712M
-
Enhanced defect of interest [DOI] monitoring by utilizing sensitive inspection and ADRTrue SEM reviewKirsch, Remo / Zeiske, Ulrich / Shabtay, Saar / Beyer, Mirko / Yerushalmi, Liran / Goshen, Oren et al. | 2011
- 79712N
-
Multifeature focus exposure matrix for tool diagnosisYang, Zhiyong / Burov, Anatoly Y. / Duan, Lifeng / Wang, Fan et al. | 2011
- 79712O
-
Scatterometry measurement for gate ADI and AEI critical dimension of 28-nm metal gate technologyHuang, Yu-Hao / Chen, Howard / Shen, Kyle / Chen, H. H. / Yu, Chun Chi / Liao, J. H. / Zhang, Xiafang / Teo, Russell / Xu, Zhi-Qing / Yoo, Sungchul et al. | 2011
- 79712P
-
Surface scanning inspection system defect classification of CMP induced scratchesMcGarvey, Steve / Miller, Anne E. et al. | 2011
- 79712Q
-
RS-Mini: an enterprise class highly compact mask inspection defect management framework for the mask and wafer fab infrastructureMunir, Saghir et al. | 2011
- 79712R
-
Wavefront measurement for EUV lithography system through Hartmann sensorPolo, A. / Bociort, F. / Pereira, S. F. / Urbach, H. P. et al. | 2011
- 79712U
-
Diffraction-based overlay for spacer patterning and double patterning technologyLee, Byoung Hoon / Park, JeongSu / Lee, Jongsu / Park, Sarohan / Lim, ChangMoon / Yim, Dong-Gyu / Park, Sungki / Ryu, Chan-Ho / Morgan, Stephen / van de Schaar, Maurits et al. | 2011
- 79712V
-
Automated CD-SEM recipe creation technology for mass production using CAD dataKawahara, Toshikazu / Yoshida, Masamichi / Tanaka, Masashi / Ido, Sanyu / Nakano, Hiroyuki / Adachi, Naokaka / Abe, Yuichi / Nagatomo, Wataru et al. | 2011
- 797101
-
Front Matter: Volume 7971| 2011
- 797103
-
A holistic metrology approach: hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEMVaid, Alok / Yan, Bin Bin / Jiang, Yun Tao / Kelling, Mark / Hartig, Carsten / Allgair, John / Ebersbach, Peter / Sendelbach, Matthew / Rana, Narender / Katnani, Ahmad et al. | 2011
- 797105
-
Litho process control via optimum metrology sampling while providing cycle time reduction and faster metrology-to-litho turn around timeChen, K.-H. / Huang, Jacky / Yang, W.-T. / Ke, C.-M. / Ku, Y.-C. / Lin, John / Bhattacharyya, Kaustuve / Mos, Evert / Shahrjerdy, Mir / van der Schaar, Maurits et al. | 2011
- 797106
-
Mask registration impact on intrafield on-wafer overlay performanceHuang, Guo-Tsai / Chen, Alex / Kang, Tung-Yaw / Lee, S. C. / Laske, Frank / Roethe, Klaus-Dieter / Choi, DongSub / Reinhart, Chiang / Robinson, John C. / Jin, You Seung et al. | 2011
- 797107
-
Application of mask process correction (MPC) to monitor and correct mask process driftLin, Timothy / Donnelly, Tom / Russell, Gordon / Jung, Sunwook / Jeong, Jiyoung et al. | 2011
- 797108
-
Sub-nanometer line width and line profile measurement for CD-SEM calibration by using STEMTakamasu, Kiyoshi / Okitou, Haruki / Takahashi, Satoru / Konno, Mitsuru / Inoue, Osamu / Kawada, Hiroki et al. | 2011
- 797109
-
Challenges of SEM-based critical dimension metrology of interconnectUkraintsev, Vladimir A. / Jessen, Scott / Mikeska, Brian / Sallee, Chris / Khvatkov, Vitali et al. | 2011
- 797110
-
A method for improving resolution of a scanning electron microscope for inspection of nanodevicesFukuda, Muneyuki / Takahashi, Noritsugu / Shojo, Tomoyasu / Ohta, Hiroya / Suzuki, Hiroshi et al. | 2011
- 797111
-
Metrology characterization of spacer double patterning by scatterometryDasari, Prasad / Li, Jie / Hu, Jiangtao / Liu, Zhuan / Kritsun, Oleg / Volkman, Catherine et al. | 2011
- 797112
-
Optical far field measurements applied to microroughness determination of periodic microelectronic structuresVauselle, Alexandre / Maillot, Philippe / Georges, Gaëlle / Deumié, Carole et al. | 2011
- 797113
-
A holistic metrology approach: multi-channel scatterometry for complex applicationsBozdog, Cornel / Kim, Hyang Kyun / Emans, Susan / Sherman, Boris / Turovets, Igor / Urensky, Ronen / Brill, Boaz / Vaid, Alok / Sendelbach, Matthew et al. | 2011
- 797114
-
Diffraction based overlay re-assessedLeray, Philippe / Laidler, David / D'havé, Koen / Cheng, Shaunee et al. | 2011
- 797115
-
Overlay measurements by Mueller polarimetry in the back focal planeNovikova, Tatiana / Fallet, Clément / Foldyna, Martin / Manhas, Sandeep / Haj Ibrahim, Bicher / De Martino, Antonello / Vannuffel, Cyril / Constancias, Christophe et al. | 2011
- 797116
-
Nested uncertainties and hybrid metrology to improve measurement accuracySilver, R. M. / Zhang, N. F. / Barnes, B. M. / Zhou, H. / Qin, J. / Dixson, R. et al. | 2011
- 797117
-
Reconciling measurements in AFM reference metrology when using different probing techniquesRana, Narender / Archie, Charles / Foucher, Johann et al. | 2011
- 797118
-
New three-dimensional AFM for CD measurement and sidewall characterizationHua, Yueming / Buenviaje-Coggins, Cynthia / Lee, Yong-ha / Lee, Jung-min / Ryang, Kyung-deuk / Park, Sang-il et al. | 2011
- 797119
-
High-speed atmospheric imaging of semiconductor wafers using rapid probe microscopyKohli, Priyanka / Lyons, Jeff / Humphris, Andrew D. L. / Bunday, Benjamin D. / Arceo, Abraham / Hamaguchi, Akira / Patel, Dilip / Bakker, David et al. | 2011
- 797120
-
Scatterometry for EUV lithography at the 22-nm nodeBunday, Benjamin / Vartanian, Victor / Ren, Liping / Huang, George / Montgomery, Cecilia / Montgomery, Warren / Elia, Alex / Liu, Xiaoping et al. | 2011
- 797121
-
Study of the three-dimensional shape measurement for mask patterns using Multiple Detector CD-SEMYonekura, Isao / Hakii, Hidemitsu / Kawashita, Masashi / Nishiyama, Yasushi / Tanaka, Keishi / Kikuchi, Yasutaka / Murakawa, Tsutomu / Shida, Soichi / Kuribara, Masayuki / Iwai, Toshimichi et al. | 2011
- 797123
-
EB defect inspection of EUV resist patterned wafer for hp 32 nm and beyondNozoe, Mari / Tanaka, Toshihiko / Kamo, Takashi / Kubo, Shinji / Tamori, Tomohiro / Takagi, Noriaki / Yamane, Takeshi / Terasawa, Tsuneo / Shigemura, Hiroyuki / Suga, Osamu et al. | 2011
- 797124
-
The study of high-sensitivity metrology method by using CD-SEMUeda, K. / Koshihara, S. / Mizuno, T. / Miura, A. et al. | 2011
- 797126
-
EUV defect characterization study on post litho and etch for 1x and 2x node processesMontal, Ofir / Cai, Man-Ping / Dotan, Kfir / Dolev, Ido / Wallow, Tom / Wood, Obert / Okoroanyanwu, Uzo / Rozentsvige, Moshe / Ngai, Chris / Bencher, Chris et al. | 2011
- 797127
-
High-order stitching overlay analysis for advanced process controlPai, Y. C. / Chen, Charlie / Jang, Louis / Chen, Howard / Yu, Chun-Chi / Huang, Chin-Chou K. / Wu, Hsing-Chien / Robinson, John C. / Tien, David et al. | 2011
- 797128
-
Influence of BARC filtration and materials on the reduction of spire defectsSchneider, Jens / Volkland, Susanne / Feldner, Ulrike / O'Riain, Lincoln / Peters, Dirk / Braun, Felix / Brencher, Lothar / Hornig, Barbara / Luxenhofer, Oliver / Sarlette, Daniel et al. | 2011
- 797129
-
Novel CD-SEM magnification calibration reference of sub-50-nm pitch multi-layer grating with positional identification markNakayama, Yoshinori / Yamamoto, Jiro / Inoue, Osamu / Kawada, Hiroki / Yoneda, Shozo et al. | 2011
-
Nested uncertainties and hybrid metrology to improve measurement accuracy [7971-40]Silver, R.M. / Zhang, N.F. / Barnes, B.M. / Zhou, H. / Qin, J. / Dixson, R. / SPIE (Society) et al. | 2011
-
A holistic metrology approach: multi-channel scatterometry for complex applications [7971-37]Bozdog, C. / Kim, H.K. / Emans, S. / Sherman, B. / Turovets, I. / Urensky, R. / Brill, B. / Vaid, A. / Sendelbach, M. / SPIE (Society) et al. | 2011
-
Artifacts of the AFM image due to the probe controlling parameters [7971-44]Itoh, H. / Wang, C. / Takagi, H. / SPIE (Society) et al. | 2011
-
Towards 22 nm: fast and effective intra-field monitoring and optimization of process windows and CDU [7971-58]Cohen, Y. / Finders, J. / Knops, R. / Mouraille, O. / Minnaert-Janssen, J. / Duray, F. / Mos, E. / Kremer, A. / Sagiv, A. / Mangan, S. et al. | 2011
-
EB defect inspection of EUV resist patterned wafer for hp 32 nm and beyond [7971-76]Nozoe, M. / Tanaka, T. / Kamo, T. / Kubo, S. / Tamori, T. / Takagi, N. / Yamane, T. / Terasawa, T. / Shigemura, H. / Suga, O. et al. | 2011
-
Novel CD-SEM magnification calibration reference of sub-50-nm pitch multi-layer grating with positional identification mark [7971-83]Nakayama, Y. / Yamamoto, J. / Inoue, O. / Kawada, H. / Yoneda, S. / SPIE (Society) et al. | 2011
-
Calibration studies of pattern top resist loss detection by CD-SEM for advanced lithography process [7971-93]Ishimoto, T. / Isawa, M. / Tanaka, M. / Cheng, S. / SPIE (Society) et al. | 2011
-
Surface scanning inspection system defect classification of CMP induced scratches [7971-102]McGarvey, S. / Miller, A.E. / SPIE (Society) et al. | 2011
-
Scatterometry measurement for gate ADI and AEI critical dimension of 28-nm metal gate technology [7971-100]Huang, Y.-H. / Chen, H. / Shen, K. / Chen, H.H. / Yu, C.C. / Liao, J.H. / Zhang, X. / Teo, R. / Xu, Z.-Q.J. / Yoo, S. et al. | 2011
-
Statistical-noise effect on power spectrum of line-edge and line-width roughness with long-range correlation [7971-18]Hiraiwa, A. / Nishida, A. / SPIE (Society) et al. | 2011
-
Fast and accurate calibration for OPC process-window model using inverse weight algorithm [7971-24]Parikh, A. / SPIE (Society) et al. | 2011
-
Contact edge roughness (CER) characterization and modeling: effects of dose on CER and critical dimension (CD) variation [7971-25]Kuppuswamy, V.-K.M. / Constantoudis, V. / Gogolides, E. / Pret, A.V. / Gronheid, R. / SPIE (Society) et al. | 2011
-
TSOM method for semiconductor metrology [7971-28]Attota, R. / Dixson, R.G. / Kramar, J.A. / Potzick, J.E. / Vladar, A.E. / Bunday, B. / Novak, E. / Rudack, A. / SPIE (Society) et al. | 2011
-
Study of scanner stage vibration by using scatterometry [7971-67]Jiang, D. / Zhou, W. / Hsieh, M. / Lin, Q. / SPIE (Society) et al. | 2011
-
EUV defect characterization study on post litho and etch for 1x and 2x node processes [7971-79]Montal, O. / Cai, M.-P. / Dotan, K. / Dolev, I. / Wallow, T. / Wood, O. / Okoroanyanwu, U. / Rozentsvige, M. / Ngai, C. / Bencher, C. et al. | 2011
-
Diffraction-based overlay for spacer patterning and double patterning technology [7971-107]Lee, B.H. / Park, J. / Lee, J. / Park, S. / Lim, C. / Yim, D.-G. / Ryu, C.-H. / Morgan, S. / van de Schaar, M. / SPIE (Society) et al. | 2011
-
Sub-nanometer line width and line profile measurement for CD-SEM calibration by using STEM (Invited Paper) [7971-07]Takamasu, K. / Okitou, H. / Takahashi, S. / Konno, M. / Inoue, O. / Kawada, H. / SPIE (Society) et al. | 2011
-
Influence of the charging effect on the precision of measuring EUV mask features [7971-11]Nishiyama, Y. / Hakii, H. / Yonekura, I. / Tanaka, K. / Kikuchi, Y. / SPIE (Society) et al. | 2011
-
Mueller matrix ellipsometry of artificial non-periodic line edge roughness in presence of finite numerical aperture [7971-22]Foldyna, M. / Germer, T.A. / Bergner, B.C. / SPIE (Society) et al. | 2011
-
Investigation on accuracy of process overlay measurement [7971-50]Hwang, C. / Lee, J. / Lee, S. / Yeo, J. / Kim, Y. / Lim, H. / Choi, D. / SPIE (Society) et al. | 2011
-
Improved overlay control using robust outlier removal methods [7971-51]Robinson, J.C. / Fujita, O. / Kurita, H. / Izikson, P. / Klein, D. / Tarshish-Shapir, I. / SPIE (Society) et al. | 2011
-
Wafer quality analysis of various scribe line mark designs [7971-52]Zhou, J. / Hickman, C. / He, Y. / Light, S. / Lamonds, L. / de Villiers, A. / SPIE (Society) et al. | 2011
-
Scatterometry for EUV lithography at the 22-nm node [7971-72]Bunday, B. / Vartanian, V. / Ren, L. / Huang, G. / Montgomery, C. / Montgomery, W. / Elia, A. / Liu, X. / SPIE (Society) et al. | 2011
-
Process solutions for reducing PR residue over non-planar wafer [7971-87]Lin, C.H. / Huang, C.H. / Yang, E. / Yang, T.H. / Chen, K.C. / Lu, C.-Y. / SPIE (Society) et al. | 2011
-
RS-Mini: an enterprise class highly compact mask inspection defect management framework for the mask and wafer fab infrastructure [7971-103]Munir, S. / SPIE (Society) et al. | 2011
-
Challenges of SEM-based critical dimension metrology of interconnect [7971-08]Ukraintsev, V.A. / Jessen, S. / Mikeska, B. / Sallee, C. / Khavatkov, V. / SPIE (Society) et al. | 2011
-
Tool-to-tool matching issues due to photoresist shrinkage effects [7971-10]Bunday, B. / Cordes, A. / Hartig, C. / Allgair, J. / Vaid, A. / Solecky, E. / Rana, N. / SPIE (Society) et al. | 2011
-
Wafer noise models for defect inspection [7971-13]Crimmins, T.F. / SPIE (Society) et al. | 2011
-
Reduction of SEM noise and extended application to prediction of CD unifromity and its experimental validation [7971-19]Kim, H. / Hwang, C. / Oh, S. / Yeo, J. / Kim, Y. / SPIE (Society) et al. | 2011
-
High-speed atmospheric imaging of semiconductor wafers using rapid probe microscopy [7971-43]Kohli, P. / Lyons, J. / Humphris, A.D.L. / Bunday, B.D. / Arceo, A. / Hamaguchi, A. / Patel, D. / Bakker, D. / SPIE (Society) et al. | 2011
-
Accuracy of diffraction-based and image-based overlay [7971-49]Ke, C.-M. / Huang, G.-T. / Huang, J. / Lee, R. / SPIE (Society) et al. | 2011
-
Scatterometry simulator using GPU and evolutionary algorithm [7971-65]Shirasaki, H. / SPIE (Society) et al. | 2011
-
Methodology for overlay mark selection [7971-86]Huang, C.-C. / Huang, C.-T. / Golotsvan, A. / Tien, D. / Chiu, C.-F. / Huang, C.-Y. / Wu, W.-B. / Shih, C.-L. / SPIE (Society) et al. | 2011
-
Wavefront measurement for EUV lithography system through Hartmann sensor [7971-104]Polo, A. / Bociort, F. / Pereira, S.F. / Urbach, H.P. / SPIE (Society) et al. | 2011
-
A new methodology for TSV array inspection [7971-17]Fujimori, Y. / Tsuto, T. / Kudo, Y. / Inoue, T. / Okamoto, K. / SPIE (Society) et al. | 2011
-
Overlay improvement roadmap: strategies for scanner control and product disposition for 5-nm overlay [7971-47]Felix, N.M. / Gabor, A.H. / Menon, V.C. / Longo, P.P. / Halle, S.D. / Koay, C. / Colburn, M.E. / SPIE (Society) et al. | 2011
-
The assessment of the impact of mask pattern shape variation on the OPC-modeling by using SEM-Contours from wafer and mask [7971-92]Hibino, D. / Hojyo, Y. / Shindo, H. / Do, T. / Dave, A. / Lin, T. / Kusnadi, I. / Sturtevant, J.L. / SPIE (Society) et al. | 2011
-
Approaches to airborne molecular contamination assessment [7971-94]Vogt, S.R. / Landoni, C. / SPIE (Society) et al. | 2011
-
Multifeature focus exposure matrix for tool diagnosis [7971-99]Yang, Z. / Burov, A.Y. / Duan, L. / Wang, F. / SPIE (Society) et al. | 2011
-
Optical illumination optimization for patterned defect inspection [7971-12]Barnes, B.M. / Quinthanilha, R. / Sohn, Y.-J. / Zhou, H. / Silver, R.M. / SPIE (Society) et al. | 2011
-
OPC verification and hotspot management for yield enhancement through layout analysis [7971-16]Yoo, G. / Kim, J. / Lee, T. / Jung, A. / Yang, H. / Yim, D. / Park, S. / Maruyama, K. / Yamamoto, M. / Vikram, A. et al. | 2011
-
High-precision edge-roughness measurement of transistor gates using three-dimensional electron microscopy combined with marker-assisted image alignment [7971-21]Ono, S. / Yamane, M. / Ogasawara, M. / Katakami, A. / Yugami, J. / Koguchi, M. / Shinada, H. / Kakibayashi, H. / Ikeda, K. / Ohji, Y. et al. | 2011
-
Metrology characterization of spacer double patterning by scatterometry [7971-35]Dasari, P. / Li, J. / Hu, J. / Liu, Z. / Kritsun, O. / Volkman, C. / SPIE (Society) et al. | 2011
-
Optical far field measurements applied to microroughness determination of periodic microelectronic structures [7971-36]Vauselle, A. / Maillot, P. / Georges, G. / Deumie, C. / SPIE (Society) et al. | 2011
-
Reconciling measurements in AFM reference metrology when using different probing techniques [7971-41]Rana, N. / Archie, C. / Foucher, J. / SPIE (Society) et al. | 2011
-
Automatic optimization of metrology sampling scheme for advanced process control [7971-60]Chue, C.-F. / Huang, C.-Y. / Shih, C.-L. / SPIE (Society) et al. | 2011
-
Advancements of diffraction-based overlay metrology for double patterning [7971-70]Li, J. / Kritsun, O. / Liu, Y. / Dasari, P. / Weher, U. / Volkman, C. / Mazur, M. / Hu, J. / SPIE (Society) et al. | 2011
-
Influence of BARC filtration and materials on the reduction of spire defects [7971-82]Schneider, J. / Volkland, S. / Feldner, U. / O Riain, L. / Peters, D. / Braun, F. / Brencher, L. / Hornig, B. / Luxenhofer, O. / Sarlette, D. et al. | 2011
-
3D features measurement using YieldStar, an angle resolved polarized scatterometer [7971-89]Charley, A.-L. / Leray, P. / D have, K. / Cheng, S. / Hinnen, P. / Li, F. / Vanoppen, P. / Dusa, M. / SPIE (Society) et al. | 2011
-
A study and simulation of the impact of high-order aberrations to overlay error distribution [7971-96]Sun, G. / Wang, F. / Zhou, C. / SPIE (Society) et al. | 2011
-
Automated CD-SEM recipe creation technology for mass production using CAD data [7971-109]Kawahara, T. / Yoshida, M. / Tanaka, M. / Ido, S. / Nakano, H. / Adachi, N. / Abe, Y. / Nagatomo, W. / SPIE (Society) et al. | 2011
-
Litho process control via optimum metrology sampling while providing cycle time reduction and faster metrology-to-litho turn around time [7971-04]Chen, K.-H. / Huang, J. / Yang, W.-T. / Ke, C.-M. / Ku, Y.-C. / Lin, J. / Bhattacharyya, K. / Mos, E. / Shahrjerdy, M. / van der Schaar, M. et al. | 2011
-
Characterization of EUV resists for defectivity at 32nm [7971-15]Montal, O. / Dolev, I. / Rosenzweig, M. / Dotan, K. / Meshulach, D. / Adan, O. / Levi, S. / Cai, M.-P. / Bencher, C. / Ngai, C.S. et al. | 2011
-
A CD-gap-free contour extraction technique for OPC model calibration [7971-23]Shibahara, T. / Minakawa, T. / Oikawa, M. / Shindo, H. / Sugahara, H. / Hojyo, Y. / SPIE (Society) et al. | 2011
-
Diffraction based overlay re-assessed [7971-38]Leray, P. / Laidler, D. / D have, K. / Cheng, S. / SPIE (Society) et al. | 2011
-
CD uniformity improvement of through-pitch contact-hole patterning for advanced logic devices [7971-62]Kuribayashi, T. / Matsui, Y. / Yoshimochi, K. / Nagahara, S. / Uchiyama, T. / SPIE (Society) et al. | 2011
-
Simulation of non-uniform wafer geometry and thin film residual stress on overlay errors [7971-66]Veeraraghaven, S. / Turner, K.T. / Sinha, J. / SPIE (Society) et al. | 2011
-
Metrology of micro-step height structures using 3D scatterometry in 4x-nm advance DRAM [7971-95]Duan, M. / Chen, C. / Hsu, C. / Wang, E. / Xu, Z. / Yu, E. / Yuan, Q. / Yoo, S. / Tan, Z. / SPIE (Society) et al. | 2011
-
Mask registration impact on intrafield on-wafer overlay performance [7971-05]Huang, G.-T. / Chen, A. / Kang, T.-Y. / Lee, S.C. / Laske, F. / Roethe, K.-D. / Choi, D. / Reinhart, C. / Robinson, J.C. / Jin, Y.S. et al. | 2011
-
Verification and extension of the MBL technique for photo resist pattern shape measurement [7971-32]Isawa, M. / Tanaka, M. / Kazumi, H. / Shishido, C. / Hamamatsu, A. / Hasegawa, N. / De Bisschop, P. / Laidler, D. / Leray, P. / Cheng, S. et al. | 2011
-
New three-dimensional AFM for CD measurement and sidewall characterization [7971-42]Hua, Y. / Buenviaje-Coggins, C. / Lee, Y. / Lee, J. / Ryang, K. / Park, S. / SPIE (Society) et al. | 2011
-
High sensitive and fast scanner focus monitoring method using forbidden pitch pattern [7971-46]Heo, J. / Yeo, J.-H. / Kim, Y. / SPIE (Society) et al. | 2011
-
Real-time detection system of defects on a photo mask by using the light scattering and interference method [7971-63]Jo, J.H. / Lee, S. / Wee, H.S. / Kim, J.S. / SPIE (Society) et al. | 2011
-
Enhanced defect of interest [DOI] monitoring by utilizing sensitive inspection and ADRTrue SEM review [7971-98]Kirsch, R. / Zeiske, U. / Shabtay, S. / Beyer, M. / Yerushalmi, L. / Goshen, O. / SPIE (Society) et al. | 2011
-
Hybrid CD metrology concept compatible with high-volume manufacturing [7971-27]Foucher, J. / Faurie, P. / Dourthe, L. / Irmer, B. / Penzkofer, C. / SPIE (Society) et al. | 2011
-
Experimental validation of 2D profile photoresist shrinkage model (Invited Paper) [7971-30]Bunday, B. / Cordes, A. / Self, A. / Ferry, L. / Danilevsky, A. / SPIE (Society) et al. | 2011
-
Surface modification of EUVL mask blanks by e-beam [7971-33]Kadaksham, A.J. / Laursen, T. / Owen, T. / Underwood, J. / Rastegar, A. / SPIE (Society) et al. | 2011
-
A method for improving resolution of a scanning electron microscope for inspection of nanodevices [7971-34]Fukuda, M. / Takahashi, N. / Shojo, T. / Ohta, H. / Suzuki, H. / SPIE (Society) et al. | 2011
-
High-throughput critical dimensions uniformity (CDU) measurement of two-dimensional (2D) structures using scanning electron microscope (SEM) systems [7971-31]Fullam, J. / Boye, C. / Standaert, T. / Gaudiello, J. / Tomlinson, D. / Xiao, H. / Fang, W. / Zhang, X. / Wang, F. / Ma, L. et al. | 2011
-
Overlay and focus stability control for 28-nm nodes on immersion scanners [7971-57]Huang, G.-T. / Chen, K.-H. / Chen, L.-J. / Gau, T.-S. / Jungblut, R. / Chen, A. / Lee, E. / Wang, L. / Un, M. / Tzeng, W.-S. et al. | 2011
-
CD-SEM image-distortion measured by view-shift method [7971-71]Inoue, O. / Kawasaki, T. / Matsui, M. / Kawada, H. / SPIE (Society) et al. | 2011
-
Study of the three-dimensional shape measurement for mask patterns using Multiple Detector CD-SEM [7971-73]Yonekura, I. / Hakii, H. / Kawashita, M. / Nishiyama, Y. / Tanaka, K. / Kikuchi, Y. / Murakawa, T. / Shida, S. / Kuribara, M. / Iwai, T. et al. | 2011
-
High-order stitching overlay analysis for advanced process control [7971-80]Pai, Y.C. / Chen, C. / Jang, L. / Chen, H. / Yu, C.-C. / Huang, C.-C.K. / Wu, H.-C. / Robinson, J.C. / Tien, D. / SPIE (Society) et al. | 2011
-
Improved secondary electron extraction efficiency model for accurate measurement of narrow-space patterns using model-based library matching [7971-64]Shishido, C. / Tanaka, M. / Hamamatsu, A. / SPIE (Society) et al. | 2011
-
The study of high-sensitivity metrology method by using CD-SEM [7971-77]Ueda, K. / Koshihara, S. / Mizuno, T. / Miura, A. / SPIE (Society) et al. | 2011
-
Impact of pellicle on overlay in double patterning lithography [7971-88]Loeffler, O. / Laske, F. / Ferber, M. / Roeth, K.-D. / Chua, L. / Jin, Y.S. / Marcuccilli, G. / Nagaswami, V. / SPIE (Society) et al. | 2011
-
Expanding the applications of computational lithography and inspection (CLI) in mask inspection, metrology, review, and repair [7971-85]Pang, L. / Peng, D. / Hu, P. / Chen, D. / He, L. / Li, Y. / Clifford, C. / Tolani, V. / SPIE (Society) et al. | 2011
-
Wafer-edge defect reduction for tri-layer materials in BEOL applications [7971-97]Du, J.R. / Huang, C.H. / Yang, E. / Yang, T.H. / Chen, K.C. / Lu, C.-Y. / SPIE (Society) et al. | 2011
-
A holistic metrology approach: hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEM (Invited Paper) [7971-02]Vaid, A. / Yan, B.B. / Jiang, Y.T. / Kelling, M. / Hartig, C. / Allgair, J. / Ebersbach, P. / Sendelbach, M. / Rana, N. / Katnani, A. et al. | 2011
-
Application of mask process correction (MPC) to monitor and correct mask process drift [7971-06]Lin, T. / Donnelly, T. / Russell, G. / Jung, S. / Jeong, J. / SPIE (Society) et al. | 2011
-
Robust edge detection with considering three-dimensional sidewall feature by CD-SEM [7971-09]Yamaguchi, A. / Koyanagi, H. / Tanaka, J. / Inoue, O. / Kawada, H. / SPIE (Society) et al. | 2011
-
Overlay measurements by Mueller polarimetry in the back focal plane [7971-39]Novikova, T. / Fallet, C. / Foldyna, M. / Manhas, S. / Ibrahim, B.H. / De Martino, A. / Vannuffel, C. / Constancias, C. / SPIE (Society) et al. | 2011
-
Sensitivity of LWR and CD linearity to process conditions in active area [7971-20]Ayal, G. / Malkes, E. / Aharoni, E. / Levi, S. / Siany, A. / Adan, O. / Shauly, E. / Shacham-Diamand, Y. / SPIE (Society) et al. | 2011
-
Quantitative measurement of voltage contrast in SEM images for in-line resistance inspection of incomplete contact [7971-14]Matsui, M. / Yano, T. / Odaka, T. / SPIE (Society) et al. | 2011