Hybrid OPC technique using model based and rule-based flows [8683-77] (Englisch)
- Neue Suche nach: Harb, M.
- Neue Suche nach: Abdelghany, H.
- Neue Suche nach: SPIE (Society)
- Neue Suche nach: Harb, M.
- Neue Suche nach: Abdelghany, H.
- Neue Suche nach: Conley, Will
- Neue Suche nach: SPIE (Society)
In:
Optical microlithography; XXVI
;
8683 27
;
2013
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Print
-
Titel:Hybrid OPC technique using model based and rule-based flows [8683-77]
-
Beteiligte:
-
Kongress:Conference; 26th, Optical microlithography; XXVI ; 2013 ; San Jose, CA
-
Erschienen in:Optical microlithography; XXVI ; 8683 27PROCEEDINGS- SPIE THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERS ; 8683 ; 8683 27
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsort:Bellingham, Washington
-
Erscheinungsdatum:01.01.2013
-
Format / Umfang:8683 27
-
Anmerkungen:Includes bibliographical references and index.
-
ISBN:
-
ISSN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 86830A
-
Imaging application tools for extremely low-k1 ArF immersion lithographyMori, Shinichi / Aoyama, Hajime / Ogata, Taro / Matsui, Ryota / Matsuyama, Tomoyuki et al. | 2013
- 86830B
-
Study of recent CFD-based scheme for analyzing 3D mask effectsTakahashi, M. / Kodera, K. / Motokubota, M. / Kawabata, Y. / Maeda, S. / Nojima, S. / Tanaka, S. / Mimotogi, S. et al. | 2013
- 86830C
-
The effect of mask and source complexity on source mask optimizationYang, Seung-Hune / Jia, Ningning / Shim, SeongBo / Vengertsev, Dmitry / Choi, Jungdal / Kang, Ho-Kyu / Kim, Young-Chang et al. | 2013
- 86830D
-
Illumination pupilgram control using an intelligent illuminatorHirayanagi, Noriyuki / Mizuno, Yasushi / Mori, Masakazu / Kita, Naonori / Matsui, Ryota / Matsuyama, Tomoyuki et al. | 2013
- 86830E
-
Inverse lithography technique for advanced CMOS nodesVillaret, Alexandre / Tritchkov, Alexander / Entradas, Jorge / Yesilada, Emek et al. | 2013
- 86830F
-
Mask compensation for process flare in 193nm very low k1 lithographyLee, Jeonkyu / Lee, Taehyeong / Oh, Sangjin / Kang, Chunsoo / Kim, Jungchan / Choi, Jaeseung / Park, Chanha / Yang, Hyunjo / Yim, Donggyu / Do, Munhoe et al. | 2013
- 86830G
-
Pupil wavefront manipulation to compensate for mask topography effects in optical nanolithographyKempsell Sears, Monica / Smith, Bruce W. et al. | 2013
- 86830H
-
Effective model-based SRAF placement for full chip 2D layoutsJayaram, Srividya / LaCour, Pat / Word, James / Tritchkov, Alexander et al. | 2013
- 86830I
-
Wafer topography modeling for ionic implantation mask correction dedicated to 2x nm FDSOI technologiesMichel, Jean-Christophe / Le Denmat, Jean-Christophe / Sungauer, Elodie / Robert, Frédéric / Yesilada, Emek / Armeanu, Ana-Maria / Entradas, Jorge / Sturtevant, John L. / Do, Thuy / Granik, Yuri et al. | 2013
- 86830J
-
Enabling reverse tone imaging for via levels using attenuated phase shift mask and source optimizationHamieh, Bassem / Choi, Hyun Chol / Erenturk, Burcin / Guo, Wei / Hamouda, Ayman / Liu, Huikan / McIntyre, Gregory / Meiring, Jason / Moreau, David / Thomas, Alan et al. | 2013
- 86830K
-
Introducing a novel flow to estimate challenges encountered while transitioning from RET development to manufacturable solutionCheng, Jacky / Chia, Robin / Gong, Ying / ElSewefy, Omar / Chua, GekSoon / Foong, YeeMei / Dave, Aasutosh / Chua, Alvin / Zhang, DongQing / Liubich, Vlad et al. | 2013
- 86830L
-
Manufacturability of computation lithography mask: current limit and requirements for sub-20nm nodeChoi, Jin / Kang, In-Yong / Park, Ji Soong / Shin, In Kyun / Jeon, Chan-Uk et al. | 2013
- 86830M
-
The impact of realistic source shape and flexibility on source mask optimizationAoyama, Hajime / Mizuno, Yasushi / Hirayanagi, Noriyuki / Kita, Naonori / Matsui, Ryota / Izumi, Hirohiko / Tajima, Keiichi / Siebert, Joachim / Demmerle, Wolfgang / Matsuyama, Tomoyuki et al. | 2013
- 86830N
-
Source and mask optimization to mitigate hotspots in etch processKono, Yuko / Kai, Yasunobu / Masukawa, Kazuyuki / Tamaoki, Sayaka / Hashimoto, Takaki / Kimura, Taiki / Aburada, Ryota / Kotani, Toshiya et al. | 2013
- 86830O
-
Global source optimization for MEEF and OPEMatsui, Ryota / Noda, Tomoya / Aoyama, Hajime / Kita, Naonori / Matsuyama, Tomoyuki / Flagello, Donis et al. | 2013
- 86830P
-
Integrated scatterometry for tight overlay and CD control to enable 20-nm node wafer manufacturing.Benschop, Jos / Engelen, Andre / Cramer, Hugo / Kubis, Michael / Hinnen, Paul / van der Laan, Hans / Bhattacharyya, Kaustuve / Mulkens, Jan et al. | 2013
- 86830Q
-
Mix-and-match overlay performance of the NSR-S622D immersion scannerMakino, Katsushi / Kikuchi, Takahisa / Sasamoto, Satoru / Hongki, Park / Mori, Akiko / Takahashi, Nobuyuki / Wakamoto, Shinji et al. | 2013
- 86830R
-
Lithographic challenges and their solutions for critical layers in sub-14nm node logic devicesChiou, Tsann-Bim / Dusa, Mircea / Chen, Alek C. / Pietromonaco, David et al. | 2013
- 86830S
-
Lithography imaging control by enhanced monitoring of light source performanceAlagna, Paolo / Zurita, Omar / Lalovic, Ivan / Seong, Nakgeuon / Rechsteiner, Gregory / Thornes, Joshua / D'havé, Koen / Van Look , Lieve / Bekaert , Joost et al. | 2013
- 86830U
-
Solutions with precise prediction for thermal aberration error in low-k1 immersion lithographyFukuhara, Kazuya / Mimotogi, Akiko / Kono, Takuya / Aoyama, Hajime / Ogata, Taro / Kita, Naonori / Matsuyama, Tomoyuki et al. | 2013
- 86830V
-
Compact OPC model optimization using emulated dataIsoyan, Artak / Mülders, Thomas / Westwood, Craig / Melvin, Lawrence S. et al. | 2013
- 86830W
-
A study on the automation of scanner matchingHe, Yuan / Serebryakov, Alexander / Light, Scott / Jain, Vivek / Byers, Erik / Goossens, Ronald / Niu, Zhi-Yuan / Engblom, Peter / Larson, Scott / Geh, Bernd et al. | 2013
- 86830X
-
Adjustment of image decomposition mode and reflection criterion focusing on critical dimension uniformity and exposure dose effectiveness under diffraction effects in optical microlithography using a digital micromirror deviceSeo, Manseung / Kim, Haeryung et al. | 2013
- 86830Y
-
Simulation of spacer-based SADP (Self-Aligned Double-Patterning) for 15nm half pitchRobertson, Stewart / Wong, Patrick / Versluijs, Janko / Wiaux, Vincent et al. | 2013
- 86830Z
-
A comparative study of self-aligned quadruple and sextuple patterning techniques for sub-15nm IC scalingChen, Yijian / Kang, Weiling / Zhang, Pan et al. | 2013
- 86831A
-
Benchmarking study of 3D mask modeling for 2X and 1X nodesWang, ChangAn / Liang, Chao-Chun / Liu, Huikan / Kallingal, Chidam / Dunn, Derren / Oberschmidt, James / Tirapu Azpiroz, Jaione et al. | 2013
- 86831B
-
OPC resist model separability validation after SMO source changeGillijns, Werner / Van de Kerkhove, Jeroen / Trivkovic, Darko / De Bisschop, Peter / Rio, David / Hsu, Stephen / Feng, Mu / Zhang, Qiang / Liu, Hua-yu et al. | 2013
- 86831C
-
Topographic mask modeling with reduced basis finite element methodTyminski, Jacek K. / Pomplun, Jan / Zschiedrich, Lin / Flagello, Donis / Matsuyama, Tomiyuki et al. | 2013
- 86831D
-
Accurate 3DEMF mask model for full-chip simulationLam, Michael C. / Adam, Kostas / Fryer, David / Zuniga, Christian / Wei, Haiqing / Oliver, Michael / Clifford, Chris H. et al. | 2013
- 86831E
-
Role of 3D photo-resist simulation for advanced technology nodesNarayana Samy, Aravind / Seltmann, Rolf / Kahlenberg, Frank / Schramm, Jessy / Küchler, Bernd / Klostermann, Ulrich et al. | 2013
- 86831F
-
A study of vertical lithography for high-density 3D structuresMizutani, Masaki / Hirai, Shin-Ichiro / Koizumi, Ichiro / Mori, Ken-Ichiro / Miura, Seiya et al. | 2013
- 86831G
-
Power up: 120 Watt injection-locked ArF excimer laser required for both multi-patterning and 450 mm wafer lithographyAsayama, Takeshi / Sasaki, Youichi / Nagashima, Takayuki / Kurosu, Akihiko / Tsushima, Hiroaki / Kumazaki, Takahito / Kakizaki, Kouji / Matsunaga, Takashi / Mizoguchi, Hakaru et al. | 2013
- 86831H
-
High power 120W ArF immersion XLR laser system for high dose applicationsRokitski, R. / Rafac, R. / Melchior, J. / Dubi, R. / Thornes, J. / Cacouris, T. / Haviland, M. / Brown, D. et al. | 2013
- 86831I
-
Comprehensive thermal aberration and distortion control of lithographic lenses for accurate overlayFujishima, Yohei / Ishiyama, Satoshi / Isago, Susumu / Fukui, Akihiro / Yamamoto, Hajime / Hirayama, Toru / Matsuyama, Tomoyuki / Ohmura, Yasuhiro et al. | 2013
- 86831J
-
High order field-to-field corrections for imaging and overlay to achieve sub 20-nm lithography requirementsMulkens, Jan / Kubis, Michael / Hinnen, Paul / de Graaf, Roelof / van der Laan, Hans / Padiy, Alexander / Menchtchikov, Boris et al. | 2013
- 86831K
-
High-productivity immersion scanner enabling 1xnm hp manufacturingShirata, Yosuke / Shibazaki, Yuichi / Kosugi, Junichi / Kikuchi, Takahisa / Ohmura, Yasuhiro et al. | 2013
- 86831L
-
Extending immersion lithography down to 1x nm production nodesde Boeij, Wim P. / Pieternella, Remi / Bouchoms, Igor / Leenders, Martijn / Hoofman, Marjan / de Graaf, Roelof / Kok, Haico / Broman, Par / Smits, Joost / Kuit, Jan-Jaap et al. | 2013
- 86831M
-
Compatibility of optimized source over design changes in the foundry environmentPei, Jojo / Shao, Feng / ElSewefy, Omar / Zhu, Cynthia / Xu, Verne / Zhu, Yu / Zhang, Liguo / Shi, Xuelong / Liu, Qingwei / Dave, Aasutosh et al. | 2013
- 86831N
-
3D resist profile full chip verification and hot spot dispositionYang, Qing / Quek, ShyueFong / Foong, YeeMei / Hassmann, Jens / Zhang, DongQing / Leschok, Andre / Yun, Tang / Feng, Mu / Baron, Stanislas / Qiu, JianHong et al. | 2013
- 86831P
-
3D lithography for implant applicationsSchneider, Jens / Feick, Henning / Kaiser, Dieter / Heller, Marcel / Sarlette, Daniel et al. | 2013
- 86831Q
-
Lens heating impact analysis and controls for critical device layers by computational methodBeak, Du Hyun / Choi, Jin Phil / Park, Tony / Nam, Young Sun / Kang, Young Seog / Park, Chan-Hoon / Park, Ki-Yeop(Chris) / Ryu, Chang-Hoon / Huang, Wenjin / Baik, Ki-Ho et al. | 2013
- 86831R
-
Effects of focus difference of nested and isolated features for scanner proximity matchingNing, GuoXiang / Ackmann, Paul / Koh, Huifeng / Richter, Frank / Ruhm, Matthias / Busch, Jens / Chen, Norman / Kurth , Karin / Leschok, Andre / Lim, Chin Teong et al. | 2013
- 86831T
-
Source mask optimization using real-coded genetic algorithmsYang, Chaoxing / Wang, Xiangzhao / Li, Sikun / Erdmann, Andreas et al. | 2013
- 86831V
-
Mask 3D effects on contact layouts of 1Xnm NAND flash devicesJang, Jongwon / Jeong, Hyungjeong / Yune, Hyungsoon / Oh, Seyoung / Yang, Hyunjo / Yim, Donggyu et al. | 2013
- 86831W
-
High speed and flexible PEB 3D diffusion simulation based on Sylvester equationLin, Pei-Chun / Chen, Charlie Chung-Ping et al. | 2013
- 86831Y
-
Line edge roughness (LER) mitigation studies specific to interference-like lithographyBaylav, Burak / Estroff, Andrew / Xie, Peng / Smith, Bruce W. et al. | 2013
- 86831Z
-
The studies of SMO process on cont layer of 20nm nodeLo, Wei Cyuan / Cheng, Yung Feng / Chen, Ming Jui et al. | 2013
- 86832A
-
Analytical equation predicting the forbidden pattern pitch for phase-shifting maskTamaki, Junichi / Shibuya, Masato / Suezou, Nakadate et al. | 2013
- 86832C
-
Optomechanical characterization of large wafer stepper-optics with respect to centering errors, lens distances, and center thicknessesStickler, Daniel / Langehanenberg, Patrik / Lüerß, Bernd / Heinisch, Josef et al. | 2013
- 86832D
-
A customized Exicor system for measuring residual birefringence in lithographic lensesBreninger, Andy / Wang, Baoliang (Bob) et al. | 2013
- 86832E
-
Flare management for 40-nm logic devicesTanaka, Yuusuke / Tamura, Takao / Fujimoto, Masashi / Tsubata, Kyoichi / Onoda, Naka / Fujii, Kiyoshi et al. | 2013
- 86832F
-
Wavefront testing of pinhole based on point diffraction interferometerJia, Xin / Xing, Tingwen / Xu, Jiajun / Lin, Wumei / Liao, Zhijie et al. | 2013
- 86832G
-
Design and simulation of illuminator with micro scanning slit array for NA 0.75 lithography systemZhu, Linglin / Zeng, Aijun / Zhang, Shanhua / Fang, Ruifang / Huang, Huijie et al. | 2013
- 86832H
-
Zero expansion glass ceramic ZERODUR®roadmap for advanced lithographyWesterhoff, Thomas / Jedamzik, Ralf / Hartmann, Peter et al. | 2013
- 86832I
-
Mask side wall clampingNaaijkens, G. J. P. / Rosielle, P. C. J. N. / Steinbuch, M. et al. | 2013
- 868301
-
Front Matter: Volume 8683| 2013
- 868304
-
Computational aspects of optical lithography extension by directed self-assemblyLai, Kafai / Liu, Chi-chun / Pitera, Jed / Dechene, Daniel J. / Schepis, Anthony / Abdallah, Jassem / Tsai, Hsinyu / Guillorn, Mike / Cheng, Joy / Doerk, Gregory et al. | 2013
- 868305
-
Sub-12nm optical lithography with 4x pitch division and SMO-liteSmayling, Michael C. / Tsujita, Koichiro / Yaegashi, Hidetami / Axelrad, Valery / Arai, Tadashi / Oyama, Kenichi / Hara, Arisa et al. | 2013
- 868306
-
Impact of process decisions and alignment strategy on overlay for the 14nm nodeLaidler, David / D’havé, Koen / Leray, Philippe / Hermans, Jan / Boemmels, Juergen / Cheng, Shaunee / Dai, Huixiong / Chen, Yongmei / Mebarki, Bencherki / Ngai, Chris et al. | 2013
- 868307
-
The impact of 14-nm photomask uncertainties on computational lithography solutionsSturtevant, John / Tejnil, Edita / Lin, Tim / Schultze, Steffen / Buck, Peter / Kalk, Franklin / Nakagawa, Kent / Ning, Guoxiang / Ackmann, Paul / Gans, Fritz et al. | 2013
- 868308
-
An investigation into scalability and compliance for triple patterning with stitches for metal 1 at the 14nm nodeCork, Christopher / Miloslavsky, Alexander / Friedberg, Paul / Luk-Pat, Gerry et al. | 2013
- 868309
-
Robust SMO methodology for exposure tool and mask variations in high volume productionHashimoto, Takaki / Kai, Yasunobu / Masukawa, Kazuyuki / Nojima, Shigeki / Kotani, Toshiya et al. | 2013
- 868310
-
Grayscale lithography: 3D structuring and thickness controlHeller, Marcel / Kaiser, Dieter / Stegemann, Maik / Holfeld, Georg / Morgana, Nicoló / Schneider, Jens / Sarlette, Daniel et al. | 2013
- 868311
-
Sidewall profile inclination modulation mask (SPIMM): modification of an attenuated phase-shift mask for single-exposure double and multiple patterningChen, Frederick T. / Chen, Wei-Su / Tsai, Ming-Jinn / Ku, Tzu-Kun et al. | 2013
- 868312
-
Avoiding wafer-print artifacts in spacer is dielectric (SID) patterningLuk-Pat, Gerard / Painter, Ben / Miloslavsky, Alex / De Bisschop, Peter / Beacham, Adam / Lucas, Kevin et al. | 2013
- 868313
-
Best focus shift mitigation for extending the depth of focusSzucs, A. / Planchot, J. / Farys, V. / Yesilada, E. / Alleaume, C. / Depre, L. / Dover, R. / Gourgon, C. / Besacier, M. / Nachtwein, A. et al. | 2013
- 868314
-
Wafer sub-layer impact in OPC/ORC models for 2x nm node implant layersLe-Denmat, Jean-Christophe / Martinelli, Catherine / Sungauer, Elodie / Michel, Jean-Christophe / Yesilada, Emek / Robert, Frederic et al. | 2013
- 868315
-
Interference harmonics and rigorous EM spectrum analysis method for low-k1CD Bossung tilt correctionChou, Shuo-Yen / Ng, Hoi-Tou / Chen, Yi-Yin / Lee, Chien-Fu / Liu, Ru-Gun / Gau, Tsai-Sheng et al. | 2013
- 868316
-
Model-based stitching and inter-mask bridge prevention for double patterning lithographyLandié, Guillaume / Pena, Jean-Noel / Postnikov, Serguey / Word, James / Shang, Shumay / Chaoui, Fahd / Yesilada, Emek / Martinelli, Catherine et al. | 2013
- 868317
-
Application of artificial neural networks to compact mask models in optical lithography simulationAgudelo, Viviana / Fühner, Tim / Erdmann, Andreas / Evanschitzky, Peter et al. | 2013
- 868318
-
3D resist profile modeling for OPC applicationsFan, Yongfa / Koh, Kar Kit / Yang, Qing / Hoppe, Wolfgang / Kuechler, Bernd / Perampalam, Puvan / Miyagi, Makoto / Zavyalova, Lena / Schmoeller, Thomas et al. | 2013
- 868319
-
On the accuracy of different Fourier transforms of VLSI designsNasser, Rajai / Hurley, Paul et al. | 2013
- 868322
-
SMO and NTD for robust single exposure solution on contact patterning for 40nm node flash memory devicesYu, Chih-Chieh / Yang, C. C. / Yang, Elvis / Yang, T. H. / Chen, K. C. / Lu, Chih-Yuan et al. | 2013
- 868323
-
Multiple-step process window aware OPC for hyper-NA lithographyHsuan, C. T. / Hu, C. M. / Lo, Fred / Yang, Elvis / Yang, T. H. / Chen, K. C. / Lu, Chih-Yuan et al. | 2013
- 868324
-
Studies of a suitable mask error enhancement factor for 2D patternsWei, Chih I / Cheng, Yung Feng / Chen, Ming Jui et al. | 2013
- 868325
-
Pixel-based inverse lithography using a mask filtering techniqueLv, Wen / Xia, Qi / Liu, Shiyuan et al. | 2013
- 868326
-
Imaging quality full chip verification for yield improvementYang, Qing / Zhou, CongShu / Quek, ShyueFong / Lu, Mark / Foong, YeeMei / Qiu, JianHong / Pandey, Taksh / Dover, Russell et al. | 2013
- 868327
-
Hybrid OPC technique using model based and rule-based flowsHarb, Mohammed / Abdelghany, Hesham et al. | 2013
- 868329
-
Model of freeform illumination mode and polarization mode for 193nm immersion lithographic machineZhang, Yunbo / Zeng, Aijun / Wang, Ying / Chen, Mingxing / Huang, Huijie et al. | 2013
-
The impact of 14-nm photomask uncertainties on computational lithography solutions [8683-6]Sturtevant, J. / Tejnil, E. / Lin, T. / Schultze, S. / Buck, P. / Kalk, F. / Nakagawa, K. / Ning, G. / Ackmann, P. / Gans, F. et al. | 2013
-
Robust SMO methodology for exposure tool and mask variations in high volume production [8683-8]Hashimoto, T. / Kai, Y. / Masukawa, K. / Nojima, S. / Kotani, T. / SPIE (Society) et al. | 2013
-
Inverse lithography technique for advanced CMOS nodes [8683-14]Villaret, A. / Tritchkov, A. / Entradas, J. / Yesilada, E. / SPIE (Society) et al. | 2013
-
Effective model-based SRAF placement for full chip 2D layouts [8683-17]Jayaram, S. / LaCour, P. / Word, J. / Tritchkov, A. / SPIE (Society) et al. | 2013
-
Simulation of spacer-based SADP (Self-Aligned Double-Patterning) for 15nm half pitch [8683-33]Robertson, S. / Wong, P. / Versluijs, J. / Wiaux, V. / SPIE (Society) et al. | 2013
-
Compatibility of optimized source over design changes in the foundry environment [8683-56]Pei, J. / Shao, F. / ElSewefy, O. / Zhu, C. / Xu, V. / Zhu, Y. / Zhang, L. / Shi, X. / Liu, Q. / Dave, A. et al. | 2013
-
Mask 3D effects on contact layouts of 1Xnm NAND flash devices [8683-65]Jang, J. / Jeong, H. / Yune, H. / Oh, S. / Yang, H. / Yim, D. / SPIE (Society) et al. | 2013
-
Analytical equation predicting the forbidden pattern pitch for phase-shifting mask [8683-81]Tamaki, J. / Shibuya, M. / Suezou, N. / SPIE (Society) et al. | 2013
-
Hybrid OPC technique using model based and rule-based flows [8683-77]Harb, M. / Abdelghany, H. / SPIE (Society) et al. | 2013
-
Model of freeform illumination mode and polarization mode for 193nm immersion lithographic machine [8683-80]Zhang, Y. / Zeng, A. / Wang, Y. / Chen, M. / Huang, H. / SPIE (Society) et al. | 2013
-
Impact of process decisions and alignment strategy on overlay for the 14nm node [8683-5]Laidler, D. / D have, K. / Leray, P. / Hermans, J. / Boemmels, J. / Cheng, S. / Dai, H. / Chen, Y. / Mebarki, B. / Ngai, C. et al. | 2013
-
Mask compensation for process flare in 193nm very low k1 lithography [8683-15]Lee, J. / Lee, T. / Oh, S. / Kang, C. / Kim, J. / Choi, J. / Park, C. / Yang, H. / Yim, D. / Do, M. et al. | 2013
-
Lithography imaging control by enhanced monitoring of light source performance [8683-28]Alagna, P. / Zurita, O. / Lalovic, I. / Seong, N. / Rechsteiner, G. / Thornes, J. / D have, K. / Van Look, L. / Bekaert, J. / SPIE (Society) et al. | 2013
-
Wavefront testing of pinhole based on point diffraction interferometer [8683-86]Jin, X. / Xing, T. / Xu, J. / Lin, W. / Liao, Z. / SPIE (Society) et al. | 2013
-
Mask side wall clamping [8683-89]Naaijkens, G.J.P. / Rosielle, P.C.J.N. / Steinbuch, M. / SPIE (Society) et al. | 2013
-
Compact OPC model optimization using emulated data [8683-30]Isoyan, A. / Mulders, T. / Westwood, C. / Melvin, L.S. / SPIE (Society) et al. | 2013
-
Best focus shift mitigation for extending the depth of focus [8683-38]Szucs, A. / Planchot, J. / Farys, V. / Yesilada, E. / Alleaume, C. / Depre, L. / Dover, R. / Gourgon, C. / Besacier, M. / Nachtwein, A. et al. | 2013
-
A study of vertical lithography for high-density 3D structures [8683-50]Mizutani, M. / Hirai, S.-I. / Koizumi, I. / Mori, K.-I. / Miura, S. / SPIE (Society) et al. | 2013
-
High speed and flexible PEB 3D diffusion simulation based on Sylvester equation [8683-66]Lin, P.-C. / Chen, C.C.-P. / SPIE (Society) et al. | 2013
-
Imaging quality full chip verification for yield improvement [8683-76]Yang, Q. / Zhou, C.S. / Quek, S.F. / Lu, M. / Foong, Y.M. / Qiu, J.H. / Pandey, T. / Dover, R. / SPIE (Society) et al. | 2013
-
Wafer topography modeling for ionic implantation mask correction dedicated to 2x nm FDSOI technologies [8683-18]Michel, J.-C. / Le Denmat, J.-C. / Sungauer, E. / Robert, F. / Yesilada, E. / Armeanu, A.-M. / Entradas, J. / Sturtevant, J.L. / Do, T. / Granik, Y. et al. | 2013
-
Global source optimization for MEEF and OPE [8683-24]Matsui, R. / Noda, T. / Aoyama, H. / Kita, N. / Matsuyama, T. / Flagello, D. / SPIE (Society) et al. | 2013
-
Solutions with precise prediction for thermal aberration error in low-k1 immersion lithography [8683-29]Fukuhara, K. / Mimotogi, A. / Kono, T. / Aoyama, H. / Ogata, T. / Kita, N. / Matsuyama, T. / SPIE (Society) et al. | 2013
-
Wafer sub-layer impact in OPC/ORC models for 2x nm node implant layers [8683-39]Le-Denmat, J.-C. / Martinelli, C. / Sungauer, E. / Michel, J.-C. / Yesilada, E. / Robert, F. / SPIE (Society) et al. | 2013
-
High power 120W ArF immersion XLR laser system for high dose applications [8683-52]Rokitski, R. / Rafac, R. / Melchior, J. / Dubi, R. / Thornes, J. / Cacouris, T. / Haviland, M. / Brown, D. / SPIE (Society) et al. | 2013
-
High order field-to-field corrections for imaging and overlay to achieve sub 20-nm lithography requirements [8683-54]Mulkens, J. / Kubis, M. / Hinnen, P. / de Graaf, R. / van der Laan, H. / Padiy, A. / Menchtchikov, B. / SPIE (Society) et al. | 2013
-
3D resist profile full chip verification and hot spot disposition [8683-57]Yang, Q. / Quek, S.F. / Foong, Y.M. / Hassmann, J. / Zhang, D. / Leschok, A. / Yun, T. / Feng, M. / Baron, S. / Qiu, J.H. et al. | 2013
-
3D lithography for implant applications [8683-59]Schneider, J. / Feick, H. / Kaiser, D. / Heller, M. / Sarlette, D. / SPIE (Society) et al. | 2013
-
Multiple-step process window aware OPC for hyper-NA lithography [8683-73]Hsuan, C.T. / Hu, C.M. / Lo, F. / Yang, E. / Yang, T.H. / Chen, K.C. / Lu, C.-Y. / SPIE (Society) et al. | 2013
-
Optomechanical characterization of large wafer stepper-optics with respect to centering errors, lens distances, and center thicknesses [8683-83]Stickler, D. / Langehanenberg, P. / Luerss, B. / Heinisch, J. / SPIE (Society) et al. | 2013
-
Illumination pupilgram control using an intelligent illuminator [8683-12]Hirayanagi, N. / Mizuno, Y. / Mori, M. / Kita, N. / Matsui, R. / Matsuyama, T. / SPIE (Society) et al. | 2013
-
Pupil wavefront manipulation to compensate for mask topography effects in optical nanolithography [8683-16]Sears, M.K. / Smith, B.W. / SPIE (Society) et al. | 2013
-
Mix-and-match overlay performance of the NSR-S622D immersion scanner [8683-26]Makino, K. / Kikuchi, T. / Sasamoto, S. / Hongki, P. / Mori, A. / Takahashi, N. / Wakamoto, S. / SPIE (Society) et al. | 2013
-
Topographic mask modeling with reduced basis finite element method [8683-47]Tyminski, J.K. / Pomplun, J. / Zschiedrich, L. / Flagello, D. / Matsuyama, T. / SPIE (Society) et al. | 2013
-
Role of 3D photo-resist simulation for advanced technology nodes [8683-49]Samy, A.N. / Seltmann, R. / Kahlenberg, F. / Schramm, J. / Kuchler, B. / Klostermann, U. / SPIE (Society) et al. | 2013
-
Extending immersion lithography down to 1x nm production nodes [8683-91]de Boeij, W. / Pieternella, R. / Bouchoms, I. / Leenders, M. / Hoofman, M. / de Graaf, R. / Kok, H. / Broman, P. / Smits, J. / Kuit, J.-J. et al. | 2013
-
Sub-12nm optical lithography with 4x pitch division and SMO-lite [8683-4]Smayling, M.C. / Tsujita, K. / Yaegashi, H. / Axelrad, V. / Arai, T. / Oyama, K. / Hara, A. / SPIE (Society) et al. | 2013
-
An investigation into scalability and compliance for triple patterning with stitches for metal 1 at the 14nm node [8683-7]Cork, C. / Miloslavsky, A. / Friedberg, P. / Luk-Pat, G. / SPIE (Society) et al. | 2013
-
Introducing a novel flow to estimate challenges encountered while transitioning from RET development to manufacturable solution [8683-20]Cheng, J. / Chia, R. / Gong, Y. / ElSewefy, O. / Chua, G.S. / Foong, Y.M. / Dave, A. / Chua, A. / Zhang, D.Q. / Liubich, V. et al. | 2013
-
Adjustment of image decomposition mode and reflection criterion focusing on critical dimension uniformity and exposure dose effectiveness under diffraction effects in optical microlithography using a digital micromirror device [8683-32]Seo, M. / Kim, H. / SPIE (Society) et al. | 2013
-
High-productivity immersion scanner enabling 1xnm hp manufacturing [8683-55]Shirata, Y. / Shibazaki, Y. / Kosugi, J. / Kikuchi, T. / Ohmura, Y. / SPIE (Society) et al. | 2013
-
Manufacturability of computation lithography mask: current limit and requirements for sub-20nm node [8683-21]Choi, J. / Kang, I.-Y. / Park, J.S. / Shin, I.K. / Jeon, C.-U. / SPIE (Society) et al. | 2013
-
Source and mask optimization to mitigate hotspots in etch process [8683-23]Kono, Y. / Kai, Y. / Masukawa, K. / Tamaoki, S. / Hashimoto, T. / Kimura, T. / Aburada, R. / Kotani, T. / SPIE (Society) et al. | 2013
-
A study on the automation of scanner matching [8683-31]He, Y. / Serebryakov, A. / Light, S. / Jain, V. / Byers, E. / Goossens, R. / Niu, Z.-Y. / Engblom, P. / Larson, S. / Geh, B. et al. | 2013
-
Grayscale lithography: 3D structuring and thickness control [8683-35]Heller, M. / Kaiser, D. / Stegemann, M. / Holfeld, G. / Morgana, N. / Schneider, J. / Sarlette, D. / SPIE (Society) et al. | 2013
-
Interference harmonics and rigorous EM spectrum analysis method for low-k1 CD Bossung tilt correction [8683-40]Chou, S.-Y. / Ng, H.-T. / Chen, Y.-Y. / Lee, C.-F. / Liu, R.-G. / Gau, T.-S. / SPIE (Society) et al. | 2013
-
3D resist profile modeling for OPC applications [8683-43]Fan, Y. / Koh, K.K. / Yang, Q. / Hoppe, W. / Kuechler, B. / Perampalam, P. / Miyagi, M. / Zavyalova, L. / Schmoller, T. / SPIE (Society) et al. | 2013
-
Comprehensive thermal aberration and distortion control of lithographic lenses for accurate overlay [8683-53]Fujishima, Y. / Ishiyama, S. / Isago, S. / Fukui, A. / Yamamoto, H. / Hirayama, T. / Matsuyama, T. / Ohmura, Y. / SPIE (Society) et al. | 2013
-
A customized Exicor system for measuring residual birefringence in lithographic lenses [8683-84]Breninger, A. / Wang, B. / SPIE (Society) et al. | 2013
-
Flare management for 40-nm logic devices [8683-85]Tanaka, Y. / Tamura, T. / Fujimoto, M. / Tsubata, K. / Onoda, N. / Fujii, K. / SPIE (Society) et al. | 2013
-
Imaging application tools for extremely low-k1 ArF immersion lithography [8683-9]Mori, S. / Aoyama, H. / Ogata, T. / Matsui, R. / Matsuyama, T. / SPIE (Society) et al. | 2013
-
Application of artificial neural networks to compact mask models in optical lithography simulation (Best Student Paper Award) [8683-42]Agudelo, V. / Fuhner, T. / Erdmann, A. / Evanschitzky, P. / SPIE (Society) et al. | 2013
-
Computational aspects of optical lithography extension by directed self-assembly [8683-3]Lai, K. / Liu, C. / Pitera, J. / Dechene, D.J. / Schepis, A. / Abdallah, J. / Tsai, H. / Guillorn, M. / Cheng, J. / Doerk, G. et al. | 2013
-
Lithographic challenges and their solutions for critical layers in sub-14nm node logic devices [8683-92]Chiou, T.-B. / Dusa, M. / Chen, A.C. / Pietromonaco, D. / SPIE (Society) et al. | 2013
-
Sidewall profile inclination modulation mask (SPIMM): modification of an attenuated phase-shift mask for single-exposure double and multiple patterning [8683-36]Chen, F.T. / Chen, W.-S. / Tsai, M.-J. / Ku, T.-K. / SPIE (Society) et al. | 2013
-
Accurate 3DEMF mask model for full-chip simulation [8683-48]Lam, M.C. / Adam, K. / Fryer, D. / Zuniga, C. / Wei, H. / Oliver, M. / Clifford, C.H. / SPIE (Society) et al. | 2013
-
Effects of focus difference of nested and isolated features for scanner proximity matching [8683-61]Ning, G. / Ackmann, P. / Koh, H. / Richter, F. / Ruhm, M. / Busch, J. / Chen, N. / Kurth, K. / Leschok, A. / Lim, C.T. et al. | 2013
-
The studies of SMO process on cont layer of 20nm node [8683-69]Lo, W.C. / Cheng, Y.F. / Chen, M.J. / SPIE (Society) et al. | 2013
-
Studies of a suitable mask error enhancement factor for 2D patterns [8683-74]Wei, C.I. / Cheng, Y.F. / Chen, M.J. / SPIE (Society) et al. | 2013
-
Design and simulation of illuminator with micro scanning slit array for NA 0.75 lithography system [8683-87]Zhu, L. / Zeng, A. / Zhang, S. / Fang, R. / Huang, H. / SPIE (Society) et al. | 2013
-
The impact of realistic source shape and flexibility on source mask optimization [8683-22]Aoyama, H. / Mizuno, Y. / Hirayanagi, N. / Kita, N. / Matsui, R. / Izumi, H. / Tajima, K. / Siebert, J. / Demmerle, W. / Matsuyama, T. et al. | 2013
-
On the accuracy of different Fourier transforms of VLSI designs [8683-44]Nasser, R. / Hurley, P. / SPIE (Society) et al. | 2013
-
Line edge roughness (LER) mitigation studies specific to interference-like lithography [8683-68]Baylav, B. / Estroff, A. / Xie, P. / Smith, B.W. / SPIE (Society) et al. | 2013
-
The effect of mask and source complexity on source-mask optimization [8683-11]Yang, S.-H. / Jia, N. / Shim, S.B. / Vengertsev, D. / Kim, Y. / Choi, J. / Kang, H.-K. / SPIE (Society) et al. | 2013
-
Source mask optimization using real-coded genetic algorithms [8383-63]Yang, C. / Wang, X. / Li, S. / Erdmann, A. / SPIE (Society) et al. | 2013
-
SMO and NTD for robust single exposure solution on contact patterning for 40nm node flash memory devices [8683-72]Yu, C.-C. / Yang, C.C. / Yang, E. / Yang, T.H. / Chen, K.C. / Lu, C.-Y. / SPIE (Society) et al. | 2013
-
Pixel-based inverse lithography using a mask filtering technique [8683-75]Lv, W. / Xia, Q. / Liu, S. / SPIE (Society) et al. | 2013
-
Zero expansion glass ceramic ZERODUR® roadmap for advanced lithography [8683-88]Westerhoff, T. / Jedamzik, R. / Hartmann, P. / SPIE (Society) et al. | 2013
-
Study of recent CFD-based scheme for analyzing 3D mask effects [8683-10]Takahashi, M. / Kodera, K. / Motokubota, M. / Kawabata, Y. / Maeda, S. / Nojima, S. / Tanaka, S. / Mimotogi, S. / SPIE (Society) et al. | 2013
-
Enabling reverse tone imaging for via levels using attenuated phase shift mask and source optimization [8683-19]Hamieh, B. / Choi, H.C. / Erenturk, B. / Guo, W. / Hamouda, A. / Liu, H. / McIntyre, G. / Meiring, J. / Moreau, D. / Thomas, A. et al. | 2013
-
Integrated scatterometry for tight overlay and CD control to enable 20-nm node wafer manufacturing. [8683-25]Benschop, J. / Engelen, A. / Cramer, H. / Kubis, M. / Hinnen, P. / van der Laan, H. / Bhattacharyya, K. / Mulkens, J. / SPIE (Society) et al. | 2013
-
A comparative study of self-aligned quadruple and sextuple patterning techniques for sub-15nm IC scaling [8683-34]Chen, Y. / Kang, W. / Zhang, P. / SPIE (Society) et al. | 2013
-
Avoiding wafer-print artifacts in spacer is dielectric (SID) patterning [8683-37]Luk-Pat, G. / Painter, B. / Miloslavsky, A. / De Bisschop, P. / Beacham, A. / Lucas, K. / SPIE (Society) et al. | 2013
-
Model based stitching and inter-mask bridge prevention for double patterning lithography [8683-41]Landie, G. / Pena, J.-N. / Postnikov, S. / Word, J. / Shang, S. / Chaoui, F. / Yesilada, E. / Martinelli, C. / SPIE (Society) et al. | 2013
-
OPC resist model separability validation after SMO source change [8683-46]Gillijns, W. / Van de Kerkhove, J. / Trivkovic, D. / De Bisschop, P. / Rio, D. / Hsu, S. / Feng, M. / Zhang, Q. / Liu, H. / SPIE (Society) et al. | 2013
-
Benchmarking study of 3D mask modeling for 2X and 1X nodes [8683-45]Wang, C.A. / Liang, C.-C. / Liu, H. / Kallingal, C. / Dunn, D. / Oberschmidt, J. / Azpiroz, J.T. / SPIE (Society) et al. | 2013
-
Power up: 120 Watt injection-locked ArF excimer laser required for both multi-patterning and 450 mm wafer lithography [8683-51]Asayama, T. / Sasaki, Y. / Nagashima, T. / Kurosu, A. / Tsushima, H. / Kumazaki, T. / Kakizaki, K. / Matsunaga, T. / Mizoguchi, H. / SPIE (Society) et al. | 2013
-
Lens heating impact analysis and controls for critical device layers by computational method [8683-60]Beak, D.H. / Choi, J.P. / Park, T. / Nam, Y.S. / Kang, Y.S. / Park, C.H. / Park, K.-Y. / Ryu, C.-H. / Huang, W. / Baik, K.-H. et al. | 2013