Correlation between structural and transport properties of silicon thin films deposited at various substrate temperatures (Englisch)
- Neue Suche nach: Roy, D.
- Neue Suche nach: Das, C.
- Neue Suche nach: Longeaud, C.
- Neue Suche nach: Houze, F.
- Neue Suche nach: Ray, S.
- Neue Suche nach: Roy, D.
- Neue Suche nach: Das, C.
- Neue Suche nach: Longeaud, C.
- Neue Suche nach: Houze, F.
- Neue Suche nach: Ray, S.
In:
JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY B MICROELECTRONICS AND NANOMETER STRUCTURES
;
21
;
1048-1054
;
2003
-
ISSN:
- Aufsatz (Zeitschrift) / Print
-
Titel:Correlation between structural and transport properties of silicon thin films deposited at various substrate temperatures
-
Beteiligte:Roy, D. ( Autor:in ) / Das, C. ( Autor:in ) / Longeaud, C. ( Autor:in ) / Houze, F. ( Autor:in ) / Ray, S. ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: American Vacuum Society
-
Erscheinungsdatum:01.01.2003
-
Format / Umfang:7 pages
-
ISSN:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Print
-
Sprache:Englisch
- Neue Suche nach: 621.55
- Weitere Informationen zu Dewey Decimal Classification
-
Klassifikation:
DDC: 621.55 -
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis – Band 21
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Fabrication of Si single-electron transistors with precise dimensions by electron-beam nanolithographyNamatsu, H. / Watanabe, Y. / Yamazaki, K. / Yamaguchi, T. / Nagase, M. / Ono, Y. / Fujiwara, A. / Horiguchi, S. et al. | 2003
- 6
-
Application of electron-beam lithography in manufacturing of magnetic headsKurokawa, Masaki / Yamada, Akio et al. | 2003
- 11
-
Physical and electrical properties of metal gate electrodes on gate dielectricsSchaeffer, J. K. / Samavedam, S. B. / Gilmer, D. C. / Dhandapani, V. / Tobin, P. J. / Mogab, J. / Nguyen, B.-Y. / White, B. E. / Dakshina-Murthy, S. / Rai, R. S. et al. | 2003
- 18
-
Scanning tunneling microscopy images of III–V semiconductor alloys: Strain effectsMcKay, H. A. / Chen, Huajie / Feenstra, R. M. / Poole, P. J. et al. | 2003
- 23
-
Controlled growth of periodic pillars by glancing angle depositionDick, B. / Brett, M. J. / Smy, T. et al. | 2003
- 29
-
Growth-temperature dependence of the microstructure of ErAs islands in GaAsKadow, C. / Johnson, J. A. / Kolstad, K. / Gossard, A. C. et al. | 2003
- 33
-
Investigation of various copper seed layers for copper electrodeposition applicable to ultralarge-scale integration interconnectionKim, Jae Jeong / Kim, Soo-Kil / Lee, Chang Hwa / Kim, Yong Shik et al. | 2003
- 39
-
Lifetime estimation of ac plasma display panels from the quantitative investigation of MgO-layer erosion based on microscopic in situ transmission measurementChoi, Seungho / Byun, Hyun Suk / Shin, Gil Yong / Oh, Soo-ghee / Lee, Soonil et al. | 2003
- 43
-
Development of a cold-cathode electron gun for cathode-ray tube using a Mo-tip field-emitter arrayKim, Hoon / Seo, Sang-Won / Park, Jong-Won / Lee, Yun-Hi / Jang, Jin / Ju, Byeong-Kwon et al. | 2003
- 48
-
Fabrication and properties of piezoresistive cantilever beam with porous silicon elementDomanski, K. / Grabiec, P. / Marczewski, J. / Gotszalk, T. / Ivanov, Tz. / Abedinov, N. / Rangelow, I. W. et al. | 2003
- 53
-
Ultraviolet-Raman studies of ultrathin films on SiHilt Tisinger, L. / Liu, R. / Kulik, J. / Zhang, X. / Ramdani, J. / Demkov, A. A. et al. | 2003
- 57
-
Complementary masking approach for proximity electron lithographyOmori, S. / Iwase, K. / Amai, K. / Sasaki, T. / Hane, H. / Koike, K. / Nohama, S. / Ashida, I. / Kitagawa, T. / Moriya, S. et al. | 2003
- 61
-
Stripping of photoresist using a remote thermal and plasmaBrussaard, G. J. H. / Letourneur, K. G. Y. / Schaepkens, M. / van de Sanden, M. C. M. / Schram, D. C. et al. | 2003
- 67
-
Simultaneous surface topography and spin-injection probabilityBullock, D. W. / LaBella, V. P. / Ding, Z. / Thibado, P. M. et al. | 2003
- 71
-
Chemical and structural characterization of GaSb(100) surfaces treated by HCl-based solutions and annealed in vacuumLiu, Z. Y. / Hawkins, B. / Kuech, T. F. et al. | 2003
- 78
-
Large-area patterning of nm structures on flexible substrates using near-field 193 nm radiationKunz, R. R. / Rothschild, M. / Yeung, M. S. et al. | 2003
- 82
-
Study on dc characteristics of an interesting InP/InGaAs tunneling-emitter bipolar transistor with double heterostructuresChen, Chun-Yuan / Chiou, Wen-Huei / Yen, Chih-Hung / Chuang, Hung-Ming / Chen, Jing-Yuh / Cheng, Chin-Chuan / Liu, Wen-Chau et al. | 2003
- 87
-
Microstructural study of Pt contact on p-type GaNKim, Jong Kyu / Jang, Ho Won / Kim, Chong Cook / Je, Jung Ho / Rickert, Kimberly A. / Kuech, Thomas F. / Lee, Jong-Lam et al. | 2003
- 91
-
Efficient removers for poly(methylmethacrylate)Hang, Qingling / Hill, Davide A. / Bernstein, Gary H. et al. | 2003
- 98
-
Influence of pattern density in nanoimprint lithographyGourgon, C. / Perret, C. / Micouin, G. / Lazzarino, F. / Tortai, J. H. / Joubert, O. / Grolier, J.-P. E. et al. | 2003
- 106
-
Transient measurement of resist charging during electron beam exposureBai, Min / Meisburger, W. Dan / Pease, R. Fabian W. et al. | 2003
- 112
-
Line edge roughness and photoresist percolation development modelMa, Yuansheng / Shin, J. / Cerrina, Franco et al. | 2003
- 118
-
Fabrication of subwavelength-size aperture for a near-field optical probe using various microfabrication proceduresChoi, S. S. / Jung, M. Y. / Kim, D. W. / Kim, J. W. / Boo, J. H. et al. | 2003
- 123
-
Thermal emissivity of carbon coated p-doped silicon stencil masks for ion projection lithographyBraun, D. / Gajic, R. / Kuchar, F. / Korntner, R. / Haugeneder, E. / Loeschner, H. / Butschke, J. / Letzkus, F. / Springer, R. et al. | 2003
- 127
-
Thermal and structural deformation and its impact on optical performance of projection optics for extreme ultraviolet lithographyLi, Yanqiu / Ota, Kazuya / Murakami, Katsuhiko et al. | 2003
- 130
-
Electromagnetic characterization of nanoimprint mold inspectionDeng, Yunfei / Neureuther, Andrew R. et al. | 2003
- 135
-
Measurement of resist response to heatingBabin, Sergey et al. | 2003
- 141
-
Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additivesGogolides, Evangelos / Argitis, Panagiotis / Couladouros, Elias A. / Vidali, Veroniki P. / Vasilopoulou, Maria / Cordoyiannis, George / Diakoumakos, Constantinos D. / Tserepi, Angeliki et al. | 2003
- 148
-
Passivation of InP surfaces of electronic devices by organothiolated self-assembled monolayersSchvartzman, M. / Sidorov, V. / Ritter, D. / Paz, Y. et al. | 2003
- 156
-
Soluble site density model for negative and positive chemically amplified resistsOcola, L. E. et al. | 2003
- 162
-
Fabrication of mesoscopic devices using atomic force macroscopic electric field induced oxidationLee, F. K. / Wen, G. H. / Zhang, X. X. / Tsui, O. K. C. et al. | 2003
- 168
-
Microstructure and crystallinity of porous silicon and epitaxial silicon layers fabricated on porous siliconLiu, Weili / Xie, Xinying / Zhang, Miao / Shen, Qinwo / Lin, Chenglu / Wang, Lumin / Chu, Paul K. et al. | 2003
- 174
-
Etching behavior of Si-containing polymers as resist materials for bilayer lithography: The case of poly-dimethyl siloxaneTserepi, A. / Cordoyiannis, G. / Patsis, G. P. / Constantoudis, V. / Gogolides, E. / Valamontes, E. S. / Eon, D. / Peignon, M. C. / Cartry, G. / Cardinaud, Ch. et al. | 2003
- 183
-
Aspect ratio dependent strains in InAs/InP quantum dots measured by synchrotron radiation x-ray diffractionKim, Kihong / Yoon, Sukho / Yoon, Euijoon / Koo, Yang Mo / Lee, Jong-Lam et al. | 2003
- 186
-
Enhancement of emission characteristics for field-emitter arrays by optimizing the etched feature of the gate electrodeKim, Hoon / Seo, Sang-Won / Lee, Joo-Won / Park, Jong-Won / Lee, Yun-Hi / Jang, Jin / Ju, Byeong-Kwon et al. | 2003
- 193
-
Investigation of boron penetration through decoupled plasma nitrided gate oxide using backside secondary ion mass spectrometry depth profilingYeo, K. L. / Wee, A. T. S. / Liu, R. / Zhou, F. F. / See, A. et al. | 2003
- 198
-
Towards a better understanding of the operative mechanisms underlying impurity-free disordering of GaAs: Effect of stressDoshi, Sachin / Deenapanray, Prakash N. K. / Tan, H. H. / Jagadish, C. et al. | 2003
- 204
-
Direct writing of photomask by ultrashort laserStanley, P. / Venkatakrishnan, K. / Lim, L. E. N. et al. | 2003
- 207
-
Fabrication of high resolution x-ray masks using diamond membrane for second generation x-ray lithographyMarumoto, Kenji / Yabe, Hideki / Aya, Sunao / Kise, Koji / Ami, Shigeto / Sasaki, Kei / Watanabe, Hiroshi / Itoga, Kenji / Sumitani, Hiroaki et al. | 2003
- 214
-
Diffractive x-ray optics using production fabrication methodsStein, A. / Jacobsen, C. / Evans-Lutterodt, K. / Tennant, D. M. / Bogart, G. / Klemens, F. / Ocola, L. E. / Choi, B. J. / Sreenivasan, S. V. et al. | 2003
- 220
-
Diffusion barrier integrity evaluation by ellipsometric porosimetryShamiryan, D. / Baklanov, M. R. / Maex, K. et al. | 2003
- 227
-
Selective deposition of molecules through poly(methylmethacrylate) patterns defined by electron-beam lithographyHang, Qingling / Wang, Yuliang / Lieberman, Marya / Bernstein, Gary H. et al. | 2003
- 233
-
Protective layer using plasma polymerized thin films in ac-plasma display panelKim, Sung-O et al. | 2003
- 237
-
Formation of low resistivity alpha Ta by ion beam sputteringZhang, Jinqiu / Huai, Yiming / Chen, Lifan / Zhang, Jing et al. | 2003
- 241
-
Bonding and band offset in -grown oxynitrideGritsenko, V. A. / Wong, Hei / Kwok, W. M. / Xu, J. B. et al. | 2003
- 246
-
Growth temperature dependence of substitutional carbon incorporation in SiGeC/Si heterostructuresLoup, V. / Hartmann, J. M. / Rolland, G. / Holliger, P. / Laugier, F. / Séméria, M. N. et al. | 2003
- 254
-
Monte Carlo simulation of gel formation and surface and line-edge roughness in negative tone chemically amplified resistsPatsis, G. P. / Glezos, N. / Gogolides, E. et al. | 2003
- 267
-
Profile control of high aspect ratio trenches of silicon. II. Study of the mechanisms responsible for local bowing formation and elimination of this effectBoufnichel, M. / Aachboun, S. / Lefaucheux, P. / Ranson, P. et al. | 2003
- 274
-
Thick and thermally isolated Si microheaters for microfabricated preconcentratorsTian, W.-C. / Pang, S. W. et al. | 2003
- 280
-
High-power collimated laser-plasma source for proximity x-ray nanolithographyGaeta, C. J. / Rieger, H. / Turcu, I. C. E. / Forber, R. A. / Campeau, S. M. / Cassidy, K. L. / Powers, M. F. / Stone, A. / Maldonado, J. R. / Mrowka, S. et al. | 2003
- 288
-
Influence of seed layers on microstructure and electrical properties of indium-tin oxide filmsHan, Younggun / Kim, Donghwan / Cho, Jun-Sik / Koh, Seok-Keun et al. | 2003
- 293
-
Ta metallization of Si–O–C substrate and Cu metallization of Ta/Si–O–C multilayerTong, J. / Martini, D. / Magtoto, N. / Kelber, J. et al. | 2003
- 301
-
Adaptive run-to-run control and monitoring for a rapid thermal processorQin, S. Joe / Scheid, Glen W. / Riley, Terrence J. et al. | 2003
- 311
-
Completion of the beta tool and the recent progress of low energy e-beam proximity projection lithographyEndo, A. / Higuchi, A. / Nozue, H. / Shimazu, N. / Fukui, T. / Yasumitsu, N. / Miyatake, T. / Anazawa, N. et al. | 2003
- 311
-
Completion of the β tool and the recent progress of low energy e-beam proximity projection lithographyEndo, Akihiro / Higuchi, Akira / Nozue, Hiroshi / Shimazu, Nobuo / Fukui, Toyoji / Yasumitsu, Naoki / Miyatake, Tsutomu / Anazawa, Norimichi et al. | 2003
- 316
-
Fabrication of nanocrystalline aluminium islands using double-surface anodizationBooth, S. E. / Marsh, C. D. / Mallik, Kanad / Baranauskas, V. / Sykes, J. M. / Wilshaw, P. R. et al. | 2003
- 319
-
Improved thermal stability of Ni silicide on Si (100) through reactive deposition of NiKim, Gi Bum / Yoo, Do-Joon / Baik, Hong Koo / Myoung, Jae-Min / Lee, Sung Man / Oh, Sang Ho / Park, Chan Gyung et al. | 2003
- 323
-
Atomic force microscopy of nickel dot arrays with tuning fork and nanotube probeRozhok, S. / Jung, S. / Chandrasekhar, V. / Lin, Xiwei / Dravid, Vinayak P. et al. | 2003
- 326
-
Electrical investigation on low-pressure chemical-vapor-deposited and thermal-processed Si/SiGe on a SIMOX substrateFujinaga, Kiyohisa et al. | 2003
- 329
-
Deposition of layers on 4H–SiC by photochemical vapor depositionChiou, Yu-Zung / Chang, Chia-Sheng / Chang, Shoou-Jinn / Su, Yan-Kuin / Chiou, Jung-Ran / Huang, Bohr-Ran / Chen, Jone F. et al. | 2003
- 332
-
Fabrication of air bridges using electron beam lithographyGritz, Michael A. / Metzler, Meredith / Moser, Joel / Spencer, David / Boreman, Glenn D. et al. | 2003
- 337
-
Preface| 2003
- 338
-
Field emission vacuum power switch using vertically aligned carbon nanotubesRupesinghe, N. L. / Chhowalla, M. / Teo, K. B. K. / Amaratunga, G. A. J. et al. | 2003
- 344
-
Characterization of electron emitters for miniature x-ray sourcesBusta, H. H. / Chen, J. M. / Shen, Z. / Jansen, K. / Rizkowski, S. / Matey, J. / Lanzillotto, A. et al. | 2003
- 350
-
High-resolution retarding field analyzerJohnson, S. D. / El-Gomati, M. M. / Enloe, L. et al. | 2003
- 354
-
Electron gun with field emission cathode of carbon fiber bundleBaturin, A. S. / Yeskin, I. N. / Trufanov, A. I. / Chadaev, N. N. / Sheshin, E. P. / Tchesov, R. G. et al. | 2003
- 358
-
Field emission characteristics of self-assembled carbon nanotubes on the gold surfaceLee, J. H. / Heo, J. N. / Yi, W. K. / Jeong, T. W. / Yu, SeGi / Lee, C. S. / Kim, W. S. / Yoo, J.-B. / Han, H. S. / Kim, J. M. et al. | 2003
- 362
-
Emission site control in carbon nanotube field emitters by focused ion and laser irradiationSawada, A. / Iriguchi, M. / Zhao, W. J. / Ochiai, C. / Takai, M. et al. | 2003
- 366
-
Modeling of field emission nanotriodes with carbon nanotube emittersNicolaescu, D. / Filip, V. / Kanemaru, S. / Itoh, J. et al. | 2003
- 375
-
Development of triode-type carbon nanotube field-emitter arrays with suppression of diode emission by forming electroplated Ni wall structureJung, J. E. / Choi, J. H. / Park, Y. J. / Lee, H. W. / Jin, Y. W. / Chung, D. S. / Park, S. H. / Jang, J. E. / Hwang, S. Y. / Ko, T. Y. et al. | 2003
- 382
-
Influence of the electronic structure on the field electron emission from carbon nanotubesFilip, V. / Nicolaescu, D. / Tanemura, M. / Okuyama, F. et al. | 2003
- 391
-
Field emitter using multiwalled carbon nanotubes grown on the silicon tip region by microwave plasma-enhanced chemical vapor depositionWong, Y. M. / Kang, W. P. / Davidson, J. L. / Wisitsora-at, A. / Soh, K. L. / Fisher, T. / Li, Q. / Xu, J. F. et al. | 2003
- 395
-
Three-dimensional simulations of field emission through an oscillating barrier from a (10,0) carbon nanotubeMayer, A. / Miskovsky, N. M. / Cutler, P. H. et al. | 2003
- 400
-
Effect of catalyst on growth behavior of carbon nanotubes synthesized by microwave heating thermal chemical vapor deposition processCheng, Hsiu-Fung / Chuo, Yen / Chou, Chen-Shien / Huang, Jin-Hua / Liu, Kuo-Shung / Lin, I-Nan et al. | 2003
- 406
-
Field emission from nanocompositesLerner, Peter / Miskovsky, N. M. / Cutler, P. H. / Chung, Moon S. et al. | 2003
- 412
-
Emission statistics and the characterization of array currentJensen, Kevin L. / Marrese-Reading, Colleen M. et al. | 2003
- 418
-
Dependence of field emission from on the stoichiometric composition forChung, M. S. / Cutler, P. H. / Miskovsky, N. M. et al. | 2003
- 418
-
Dependence of field emission from Al~xGa~1~-~xN on the stoichiometric composition for xChung, M. S. / Cutler, P. H. / Miskovsky, N. M. et al. | 2003
- 422
-
Emission characteristics of NbC/Nb field emitter array cathodesMackie, W. A. / Southall, L. A. / Xie, Tianbao / Cabe, G. L. / McClelland, P. H. et al. | 2003
- 427
-
Uniformity and stability of field emission from bare and metal coated Si tip arraysGünther, B. / Kaldasch, F. / Müller, G. / Schmitt, S. / Henning, T. / Huber, R. / Lacher, M. et al. | 2003
- 433
-
Spindt cathode tip processing to enhance emission stability and high-current performanceSchwoebel, P. R. / Spindt, C. A. / Holland, C. E. et al. | 2003
- 436
-
Emission stability of a field emitter array observed by an emission microscopeMiyamoto, Nobuo / Adachi, Hiroshi / Nakane, Hideaki / Yamane, Khoichi et al. | 2003
- 440
-
Electrical aging of molybdenum field emittersLee, Jong Duk / Oh, Chang Woo / Park, Byung Gook et al. | 2003
- 445
-
Field emission characteristics of GaN roughened with plasmaKimura, Chiharu / Yamamoto, Tomohide / Sugino, Takashi et al. | 2003
- 449
-
Low-field electron emission of Si microtip arrays produced by laser beam evaporationKarabutov, A. V. / Frolov, V. D. / Simakin, A. V. / Shafeev, G. A. et al. | 2003
- 453
-
Effect of annealing temperature on the electron emission characteristics of silicon tips coated with thin filmKang, W. P. / Wisitsora-at, A. / Davidson, J. L. / Tan, O. K. / Zhu, W. G. / Li, Q. / Xu, J. F. et al. | 2003
- 458
-
Field emission characterization of silicon tip arrays coated with GaN and diamond nanoparticle clustersHajra, M. / Chubun, N. N. / Chakhovskoi, A. G. / Hunt, C. E. / Liu, K. / Murali, A. / Risbud, S. H. / Tyler, T. / Zhirnov, V. et al. | 2003
- 464
-
Low leakage current optically gated silicon field emitter arraysLiu, Kendrick X. / Heritage, Jonathan P. et al. | 2003
- 471
-
Emission characteristics of a GaAs wedge emitter monolithically fabricated with an air bridge and a cantilever anodeMimura, H. / Yilmazoglu, O. / Shimawaki, H. / Yokoo, K. / Mutamba, K. / Hartnagel, H. et al. | 2003
- 474
-
Behavior of the solid-state field-controlled planar emitters under extreme working conditionsBinh, Vu Thien / Semet, V. / Dupin, J. P. / Adessi, Ch. / Guillot, D. et al. | 2003
- 479
-
Overview of field-emission information storage devicesLam, Si-Ty et al. | 2003
- 483
-
Field-emission characterization of the singly addressable double-gated polysilicon tip arrayChubun, N. N. / Chakhovskoi, A. G. / Hajra, M. / Hunt, C. E. et al. | 2003
- 483
-
Field-emission characterization of the 10x10 singly addressable double-gated polysilicon tip arrayChubun, N. N. / Chakhovskoi, A. G. / Hajra, M. / Hunt, C. E. et al. | 2003
- 486
-
Double-gated silicon field emittersDvorson, L. / Kymissis, I. / Akinwande, A. I. et al. | 2003
- 495
-
Metal–oxide–semiconductor field-effect transistor-structured Si field emitter array with a built-in ring gate lensNagao, M. / Nicolaescu, D. / Matsukawa, T. / Kanemaru, S. / Itoh, J. / Sato, T. / Sato, Y. / Wada, N. et al. | 2003
- 500
-
Silicon metal–oxide–semiconductor field effect transistor/field emission array fabricated using chemical mechanical polishingHong, Ching-yin / Akinwande, Akintunde I. et al. | 2003
- 506
-
Lateral field emitter arrays with high emission currents and wide operation region by high field activationLee, Jae-Hoon / Lee, Myoung-Bok / Hahm, Sung-Ho / Lee, Jung-Hee / Seo, Hwa-Il / Kwon, Dae-Hyuk / Kim, Jin-Sup / Choi, Kyu-Man et al. | 2003
- 511
-
Electrical behavior of field-emission device with C-based surface layerLee, Myoung-Bok / Kwon, Ki-Rock / Lee, Jae-Hoon / Hahm, Sung-Ho / Lee, Jung-Hee et al. | 2003
- 515
-
Blue-emitting ZnS:Ag,Al phosphors with low defect density for high-voltage field-emission displaysKajiwara, K. / Hida, T. / Tanaka, K. et al. | 2003
- 519
-
Metal–oxide–semiconductor field effect transistor-controlled field emission displayKim, Il Hwan / Lee, Jong Duk / Oh, Chang Woo / Park, Jae Woo / Park, Byung Gook et al. | 2003
- 523
-
Study of a microprocessor-based technique for improving the uniformity of a field-emission flat-panel displayDeng, S. Z. / Wang, K. / Chen, Jun / Zhang, Y. Q. / Xu, N. S. et al. | 2003
- 527
-
Degradation behavior of low-voltage cathodoluminescence of a ZnS:Ag,Cl phosphor screen under a panel sealing environmentPark, Z. M. / Jeon, D. Y. / Jin, Y. W. / Cha, S. N. / Kim, J. M. et al. | 2003
- 527
-
Degradation behavior of low-voltage cathodoluminescence of a ZnS:Ag,CI phosphor screen under a panel sealing environmentPark, Z. M. / Jeon, D. Y. / Jin, Y. W. / Cha, S. N. / Kim, J. M. et al. | 2003
- 532
-
Preparation and characterization of phosphor synthesized with an optimized combustion processKim, Jin Young / Kang, Jong Hyuk / Lee, Dong Chin / Jeon, Duk Young et al. | 2003
- 536
-
Investigation of the characteristic changes on phosphors during low voltage electron irradiationKim, Jin Young / You, Yong Chan / Jeon, Duk Young / Yu, Il / Yang, Hong-Gun et al. | 2003
- 540
-
GaN planar-doped-barrier electron emitter with piezoelectric surface barrier loweringShen, L. / Smochkova, I. P. / Green, D. S. / Heikman, S. / Mishra, U. K. et al. | 2003
- 544
-
Improved field-emission characteristics of GaN by BN coatingKimura, Chiharu / Yamamoto, Tomohide / Sugino, Takashi et al. | 2003
- 548
-
Analysis of the slope of the Fowler–Nordheim plot for field emission from -type semiconductorsChung, M. S. / Yoon, B.-G. et al. | 2003
- 552
-
Field emission from metal particles bound with a photoresistBaba, Akiyoshi / Asano, Tanemasa et al. | 2003
- 557
-
Field-emission characteristics of carbon buckypaperKnapp, W. / Schleussner, D. et al. | 2003
- 562
-
Growth and evaluation of nanostructured carbon films for triode field emitter applicationPark, Kyung Ho / Han, Hyung Jun / Choi, Seungho / Lee, Kyung Moon / Lee, Soonil / Koh, Ken Ha et al. | 2003
- 567
-
Analysis of the field-electron energy distribution from amorphous carbon-nitride filmsChen, Jun / Huang, N. Y. / Liu, X. W. / Deng, S. Z. / Xu, N. S. et al. | 2003
- 571
-
Reticulated vitreous carbon field emission cathodes for light source applicationsChakhovskoi, Andrei G. / Hunt, Charles E. / Forsberg, Gunnar / Nilsson, Thomas / Persson, Per et al. | 2003
- 576
-
Variations in structure and emission characteristics of nanostructured carbon films prepared by the hot-filament chemical-vapor-deposition method due to the addition of ammonia in the sourceChoi, Seungho / Park, Kyung Ho / Han, Hyung Jun / Lee, Kyung Moon / Lee, Soonil / Koh, Ken Ha et al. | 2003
- 581
-
Effects of the interface and surface nanostructures on field emission of amorphous diamond filmChen, Jian / Xu, N. S. / Deng, S. Z. / She, J. C. / Chen, Jun et al. | 2003
- 587
-
High-temperature electron emission from diamond filmsShin, S. H. / Fisher, T. S. / Walker, D. G. / Strauss, A. M. / Kang, W. P. / Davidson, J. L. et al. | 2003
- 593
-
Fabrication and field emission characteristics of lateral diamond field emitterKang, W. P. / Davidson, J. L. / Wisitsora-at, A. / Howell, M. / Jamaludin, A. / Wong, Y. M. / Soh, K. L. / Kerns, D. V. et al. | 2003
- 597
-
Dielectric-carbon composites for field electron emittersKarabutov, A. V. / Ralchenko, V. G. / Vlasov, I. I. / Gordeev, S. K. / Korchagina, S. B. et al. | 2003
- 603
-
Field emission properties of phosphorus doped microwave plasma chemical vapor deposition diamond films by ion implantationCho, Euo Sik / Park, Byung-Gook / Lee, Jong Duk / Kwon, Sang Jik et al. | 2003
- 608
-
Current image tunneling spectroscopy of chemical vapor deposited diamond filmsLin, I-Nan / Chou, Yi-Ping / Chen, Tong T. / Cheng, Hsiu-Fung et al. | 2003
- 614
-
Diamond field-emission triode with low gate turn-on voltage and high gainWisitsora-at, A. / Kang, W. P. / Davidson, J. L. / Kerns, D. V. / Fisher, T. et al. | 2003
- 618
-
Influence of the optimal etching conditions of silicon substrates on field-electron emission from amorphous-diamond filmsChen, Jian / Xu, N. S. / She, J. C. / Chen, Jun / Deng, S. Z. et al. | 2003
- 623
-
Effects of metal buffer layers on the hot filament chemical vapor deposition of nanostructured carbon filmsLee, Kyung Moon / Han, Hyung Jun / Choi, Seungho / Park, Kyung Ho / Oh, Soo-ghee / Lee, Soonil / Koh, Ken Ha et al. | 2003
- 627
-
Silicon doped diamond-like carbon films as a coating for improvement of electron field emissionEvtukh, Anatoli A. / Litovchenko, Volodimir G. / Litvin, Yurii M. / Fedin, Dmitrii V. / Dzyan, Olexander S. / Pedchenko, Yu. N. / Chakhovskoi, Andrei G. / Felter, Thomas E. et al. | 2003
- 633
-
Design of a shallow thermally stable ohmic contact to p-type InGaSbWang, S. H. / Mohney, S. E. / Hull, B. A. / Bennett, B. R. et al. | 2003
- 641
-
Effects of KrF (248 nm) excimer laser irradiation on electrical and optical properties of GaN:MgKim, Dong-Joon / Kim, Hyun-Min / Han, Myung-Geun / Moon, Yong-Tae / Lee, Seonghoon / Park, Seong-Ju et al. | 2003
- 645
-
Characterization of vapor deposited poly (ethylene glycol) films on silicon surfaces for surface modification of microfluidic systemsPopat, Ketul C. / Johnson, Robert W. / Desai, Tejal A. et al. | 2003
- 655
-
Modeling the impact of photoresist trim etch process on photoresist surface roughnessRauf, Shahid / Stout, Phillip J. / Cobb, Jonathan et al. | 2003
- 660
-
Large area direct nanoimprinting of gel gratings for optical applicationsLi, Mingtao / Tan, Hua / Chen, Lei / Wang, Jian / Chou, Stephen Y. et al. | 2003
- 664
-
Silicon nanowire with programmable conductivity analyzed by scanning Maxwell-stress microscopyMatsukawa, Takashi / Kanemaru, Seigo / Masahara, Meishoku / Nagao, Masayoshi / Tanoue, Hisao / Itoh, Junji et al. | 2003
- 670
-
Properties of ZnO-doped thin films by rf sputteringHuang, Cheng-Liang / Hsu, Cheng-Shing et al. | 2003
- 677
-
Stability improvement of deuterated amorphous silicon thin-film transistors characterized by modified Schottky-contact gated-four-probe methodLiu, Sheng-Da / Shih, An / Chen, Shen-De / Lee, Si-Chen et al. | 2003
- 683
-
Cell behavior on lithographically defined nanostructured substratesTeixeira, Ana I. / Abrams, George A. / Murphy, Christopher J. / Nealey, Paul F. et al. | 2003
- 688
-
Room-temperature nanoimprint and nanotransfer printing using hydrogen silsequioxaneMatsui, S. / Igaku, Y. / Ishigaki, H. / Fujita, J. / Ishida, M. / Ochiai, Y. / Namatsu, H. / Komuro, M. et al. | 2003
- 693
-
Fabrication and electrical characteristics of carbon nanotube-based microcathodes for use in a parallel electron-beam lithography systemTeo, K. B. K. / Chhowalla, M. / Amaratunga, G. A. J. / Milne, W. I. / Legagneux, P. / Pirio, G. / Gangloff, L. / Pribat, D. / Semet, V. / Binh, Vu Thien et al. | 2003
- 698
-
Correlation between current–voltage characteristics and dislocations evaluated with submicrometer Schottky contacts on grown by metalorganic chemical vapor depositionShiojima, Kenji / Suemitsu, Tetsuya et al. | 2003
- 706
-
Multiple-pulse laser annealing of preamorphized silicon for ultrashallow boron junction formationPoon, Chyiu Hyia / Cho, Byung Jin / Lu, Yong Feng / Bhat, Mousumi / See, Alex et al. | 2003
- 710
-
Electron transport in a submicron-scale AlGaAs/GaAs field-effect transistor with InAs nanodots as the floating gateKoike, Kazuto / Sasa, Shigehiko / Inoue, Masataka / Yano, Mitsuaki et al. | 2003
- 714
-
Quantitative method of image analysis when drift is present in a scanning probe microscopeHuerth, S. H. / Hallen, H. D. et al. | 2003
- 719
-
Fabrication and characterization of silicon nanocrystals by thermal oxidation of a-Si:H films in airKohli, Sandeep / Theil, Jeremy A. / Snyder, Rick. D. / Rithner, Christopher D. / Dorhout, Peter K. et al. | 2003
- 729
-
Carrier spilling revisited: On-bevel junction behavior of different electrical depth profiling techniquesClarysse, T. / Eyben, P. / Duhayon, N. / Xu, M. W. / Vandervorst, W. et al. | 2003
- 737
-
Bias-induced junction displacements in scanning spreading resistance microscopy and scanning capacitance microscopyEyben, P. / Duhayon, N. / Clarysse, T. / Vandervorst, W. et al. | 2003
- 744
-
Identification of artifacts in Auger electron spectroscopy due to surface topographyGelsthorpe, A. / El-Gomati, M. M. et al. | 2003
- 748
-
High-resolution complex structures for two-dimensional photonic crystals realized by x-ray diffraction lithographyBusinaro, L. / Romanato, F. / Candeloro, P. / Di Fabrizio, E. / Patrini, M. / Galli, M. / Andreani, C. / Passaseo, A. / De Vittorio, M. et al. | 2003
- 754
-
Structural study of amorphous vanadium oxide films for thin film microbatteryKim, Han-Ki / Seong, Tae-Yeon / Yoon, Young Soo et al. | 2003
- 760
-
Temperature-dependent characteristics of an As pseudomorphic double heterojunction modulation doped field-effect transistor with a GaAs/AlGaAs superlattice buffer layerLi, Yih-Juan / Hsu, Wei-Chou / Wang, Sheng-Yung et al. | 2003
- 763
-
Fabrication of monodomain alumina pore arrays with an interpore distance smaller than the lattice constant of the imprint stampChoi, J. / Nielsch, K. / Reiche, M. / Wehrspohn, R. B. / Gösele, U. et al. | 2003
- 767
-
Study of titanium silicide formation using spike anneal for integrated chip manufacturingTan, C. C. / Lu, L. / Lai, C. W. / See, A. / Chan, L. H. et al. | 2003
- 775
-
Single electron transistors with junctionsDolata, R. / Scherer, H. / Zorin, A. B. / Niemeyer, J. et al. | 2003
- 781
-
Influence of hydrogen plasma treatment on boron implanted junctions in siliconRangan, Sanjay / Horn, Mark / Ashok, S. / Mohapatra, Y. N. et al. | 2003
- 785
-
Improvement of mechanical properties of nanometer period multilayer films at interfaces of each layerMiyake, Shojiro et al. | 2003
- 790
-
Sub-0.1 μm nitride hard mask open process without precuring the ArF photoresistKim, J. / Chae, Y. S. / Lee, W. S. / Shon, J. W. / Kang, C. J. / Han, W. S. / Moon, J. T. et al. | 2003
- 790
-
Sub-0.1 mum nitride hard mask open process without precuring the ArF photoresistKim, J. / Chae, Y. S. / Lee, W. S. / Shon, J. W. / Kang, C. J. / Han, W. S. / Moon, J. T. et al. | 2003
- 795
-
NiAuGeAu ohmic contacts for a planar InP-based high electron mobility transistor structure with suppressed drain conductance frequency dispersionArai, Tomoyuki / Sawada, Ken / Hara, Naoki et al. | 2003
- 800
-
Dry etching of ZnO films and plasma-induced damage to optical propertiesPark, J. S. / Park, H. J. / Hahn, Y. B. / Yi, G.-C. / Yoshikawa, A. et al. | 2003
- 804
-
Multilayer diffusion barrier for copper metallization using a thin interlayer metal Cr, and Zr) between two TiN filmsKim, Soo-Hyun / Nam, Ki Tae / Datta, Arindom / Kim, Hyun-Mi / Kim, Ki-Bum / Kang, Dae-Hwan et al. | 2003
- 814
-
Optical properties of thin film multilayer films for 157 nm optical lithographyRack, Philip D. / Lassiter, Matthew / Bourov, Anatoli / Baiko, Dennis / Smith, Bruce et al. | 2003
- 818
-
Patterning thin films using synchrotron radiation stimulated etching with a Co contact maskWang, Changshun / Moré, Sam Dylan / Wang, Zhihong / Yamamura, Shusaku / Nonogaki, Yoichi / Urisu, Tsuneo et al. | 2003
- 823
-
Development of an electron-beam lithography system for high accuracy masksKawano, H. / Ito, H. / Mizuno, K. / Matsuzaka, T. / Kawasaki, K. / Saitou, N. / Ohta, H. / Sohda, Y. et al. | 2003
- 828
-
Integrated equipment-feature modeling investigation of fluorocarbon plasma etching of and photoresistZhang, Da / Rauf, Shahid / Sparks, Terry G. / Ventzek, Peter L. G. et al. | 2003
- 837
-
Crystallization and pyroelectric effect of semiconducting YBaCuO thin films deposited at different temperaturesYildiz, Ali / Butler, Donald P. / Çelik-Butler, Zeynep / Kim, Choong-Un et al. | 2003
- 843
-
Inductively coupled plasma reactive ion etching of GaInAsSb and AlGaAsSb for quaternary antimonide multiple interconnected module thermophotovoltaicsPeake, G. M. / Shul, R. J. / Ashby, C. I. H. / Cederberg, J. G. / Hafich, M. J. / Biefeld, R. M. / Palmisiano, M. N. et al. | 2003
- 848
-
Properties of reactive-sputtered films for complementary metal–oxide–semiconductor silicon storage node electrode diffusion barriersKim, Sam-Dong / Rhee, Jin-Koo / Park, Hyung-Moo et al. | 2003
- 858
-
Improving the quality of electroplated copper films by rapid thermal annealingChang, Shih-Chieh / Shieh, Jia-Min / Dai, Bau-Tong / Feng, Ming-Shiann / Wang, Ying-Lang et al. | 2003
- 862
-
Applications of micro-Raman spectroscopy in salicide characterization for Si device fabricationZhao, F. F. / Chen, S. Y. / Shen, Z. X. / Gao, X. S. / Zheng, J. Z. / See, A. K. / Chan, L. H. et al. | 2003
- 868
-
Experimental studies of the cap structure of single-walled carbon nanotubesDean, Kenneth A. / Chalamala, Babu R. et al. | 2003
- 872
-
Analysis of the I–V characteristics of Al/4H-SiC Schottky diodesZhang, Jingyan / Harrell, William R. et al. | 2003
- 879
-
Organic modified Schottky contacts: Barrier height engineering and chemical stabilityKampen, T. U. / Park, S. / Zahn, D. R. T. et al. | 2003
- 883
-
Growth and characterization of modulation-doped double barrier quantum well infrared photodetectorsLuna, E. / Guzmán, A. / Sánchez-Rojas, J. L. / Tijero, J. M. G. / Hey, R. / Hernando, J. / Muñoz, E. et al. | 2003
- 888
-
Characterization of different-Al-content heterostructures and high-electron-mobility transistors on sapphireArulkumaran, S. / Egawa, T. / Ishikawa, H. / Jimbo, T. et al. | 2003
- 895
-
Role of in the oxidation of Si(100)Kaspar, Tiffany / Tuan, Allan / Tonkyn, Russell / Hess, Wayne P. / Rogers, J. W. / Ono, Yoshi et al. | 2003
- 900
-
Low-loss InP-based photonic-crystal waveguides etched with chemically assisted ion beam etchingMulot, M. / Anand, S. / Swillo, M. / Qiu, M. / Jaskorzynska, B. / Talneau, A. et al. | 2003
- 904
-
Chemical topography analyses of silicon gates etched in and high density plasmasVallier, L. / Foucher, J. / Detter, X. / Pargon, E. / Joubert, O. / Cunge, G. / Lill, T. et al. | 2003
- 912
-
Deep reactive ion etching characteristics of a macromachined chemical reactorBesser, R. S. / Shin, W. C. et al. | 2003
- 916
-
Comparative measurements of the piezoelectric coefficient of a lead zirconate titanate film by piezoresponse force microscopy using electrically characterized tipsLin, Heh-Nan / Chen, Sy-Hann / Ho, Shu-Te / Chen, Ping-Ren / Lin, I-Nan et al. | 2003
- 919
-
Contact resistance of newly proposed RuTiN and RuTiO diffusion barriers for future high-density memory capacitorsYoon, Dong-Soo / Roh, Jae Sung et al. | 2003
- 927
-
Focused ion beam induced surface amorphization and sputter processesBasnar, B. / Lugstein, A. / Wanzenboeck, H. / Langfischer, H. / Bertagnolli, E. / Gornik, E. et al. | 2003
- 931
-
Interface analysis of atomic layer deposited-TiN gate electrodes on ultrathin layersSell, Bernhard / Sänger, Annette / Krautschneider, Wolfgang et al. | 2003
- 936
-
Effect of laser annealing on delta-doped boron for super-steep-retrograded well formation using selective Si epitaxyLee, Jung-Ho / Lee, Jeong-Youb / Weon, Dae-Hee / Hahn, Seung-Ho / Lee, Seok-Kiu / Ichikawa, Masakazu et al. | 2003
- 942
-
Using electron cyclotron resonance sputtering in the deposition of ultrathin gate dielectricsJin, Yoshito / Saito, Kunio / Shimada, Masaru / Ono, Toshiro et al. | 2003
- 949
-
All solid-state rechargeable thin-film microsupercapacitor fabricated with tungsten cosputtered ruthenium oxide electrodesKim, Han-Ki / Cho, Suk-Ho / Ok, Young-Woo / Seong, Tae-Yeon / Yoon, Young Soo et al. | 2003
- 953
-
Electrical properties of aluminum oxide films deposited on indium-tin-oxide glassesLee, Jiyoul / Kim, S. S. / Im, Seongil et al. | 2003
- 957
-
Integrally gated carbon nanotube field emission cathodes produced by standard microfabrication techniquesGuillorn, M. A. / Hale, M. D. / Merkulov, V. I. / Simpson, M. L. / Eres, G. Y. / Cui, H. / Puretzky, A. A. / Geohegan, D. B. et al. | 2003
- 960
-
Chemical mechanical polishing defect reduction via a plasma etch in the 0.15 μm shallow trench isolation processChiu, C. M. / Yen, T. F. / Chiu, K.-F. et al. | 2003
- 960
-
Chemical mechanical polishing defect reduction via a plasma etch in the 0.15 mum shallow trench isolation processChiu, C. M. / Yen, T. F. / Chiu, K.-F. et al. | 2003
- 966
-
Two-dimensional photonic crystals by focused-ion-beam etching of multilayer membranesWang, K. / Filloux, P. / Paraire, N. / Roca i Cabarrocas, P. / Bulkin, P. et al. | 2003
- 970
-
Comparison of two surface preparations used in the homoepitaxial growth of silicon films by plasma enhanced chemical vapor depositionReidy, Sean / Varhue, Walter J. / Lavoie, Mark / Mongeon, Stephen / Adams, Edward et al. | 2003
- 975
-
Selective epitaxial growth for plugs of high-density devicesCheong, Woo-Seok / Lee, Seok-Kiu / Roh, Jae-Sung et al. | 2003
- 981
-
Depletion- and enhancement-mode high-electron-mobility transistors with high-breakdown voltageLi, Yih-Juan / Hsu, Wei-Chou / Chen, Yen-Wei / Shieh, Hir-Ming et al. | 2003
- 984
-
Photoluminescence and micro-Raman scattering in Mn-doped ZnS nanocrystalline semiconductorsYang, Richard D. / Tripathy, S. / Tay, Francis E. H. / Gan, L. M. / Chua, S. J. et al. | 2003
- 989
-
Simple magnetic focusing for an electron gun based on a microtip arrayBarjon, J. / Jalabert, D. / Levy, F. et al. | 2003
- 996
-
Fabrication of multiwalled carbon nanotube bridges by poly-methylmethacrylate suspended dispersionLee, S.-B. / Teo, K. B. K. / Amaratunga, G. A. J. / Milne, W. I. / Chhowalla, M. / Hasko, D. G. / Ahmed, H. et al. | 2003
- 1000
-
Reduction of grain-boundary potential barrier height in polycrystalline silicon with hot -vapor annealing probed using point-contact devicesKamiya, Toshio / Durrani, Zahid A. K. / Ahmed, Haroon / Sameshima, Toshiyuki / Furuta, Yoshikazu / Mizuta, Hiroshi / Lloyd, Neil et al. | 2003
- 1004
-
Individual free-standing carbon nanofibers addressable on the 50 nm scaleMoser, J. / Panepucci, R. / Huang, Z. P. / Li, W. Z. / Ren, Z. F. / Usheva, A. / Naughton, M. J. et al. | 2003
- 1008
-
Quantification of line-edge roughness of photoresists. I. A comparison between off-line and on-line analysis of top-down scanning electron microscopy imagesPatsis, G. P. / Constantoudis, V. / Tserepi, A. / Gogolides, E. / Grozev, G. et al. | 2003
- 1019
-
Quantification of line-edge roughness of photoresists. II. Scaling and fractal analysis and the best roughness descriptorsConstantoudis, V. / Patsis, G. P. / Tserepi, A. / Gogolides, E. et al. | 2003
- 1027
-
Enhanced infrared detection characteristics of films prepared using alternating and V layersKang, Ho Kwan / Han, Yong Hee / Shin, Hyun Jun / Moon, Sung / Kim, To Hoon et al. | 2003
- 1032
-
Real-time observation of electromigration-induced stress changes with imaging x-ray topographySolak, H. H. / David, C. / Gobrecht, J. / Drakopoulos, M. et al. | 2003
- 1037
-
Atomic force microscopy and x-ray diffraction studies of aluminum-induced crystallization of amorphous silicon in Al/alpha-Si:H, alpha-Si:H/Al, and Al/alpha-Si:H/Al thin film structuresKishore, R. / Shaik, A. / Naseem, H. A. / Brown, W. D. et al. | 2003
- 1037
-
Atomic force microscopy and x-ray diffraction studies of aluminum-induced crystallization of amorphous silicon in Al/α-Si:H, α-Si:H/Al, and Al/α-Si:H/Al thin film structuresKishore, Ram / Shaik, Arshad / Naseem, H. A. / Brown, W. D. et al. | 2003
- 1048
-
Correlation between structural and transport properties of silicon thin films deposited at various substrate temperaturesRoy, D. / Das, Chandan / Longeaud, C. / Houzé, F. / Ray, S. et al. | 2003
- 1055
-
Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensorHenn-Lecordier, L. / Kidder, J. N. / Rubloff, G. W. / Gogol, C. A. / Wajid, A. et al. | 2003
- 1064
-
Improved room-temperature continuous wave GaAs/AlGaAs and InGaAs/GaAs/AlGaAs lasers fabricated on Si substrates via relaxed graded buffer layersGroenert, Michael E. / Pitera, Arthur J. / Ram, Rajeev J. / Fitzgerald, Eugene A. et al. | 2003
- 1070
-
Height measurement of dsDNA and antibodies absorbed on solid substrates in air by vibrating mode scanning polarization force microscopyLi, X.-j. / Sun, J.-l. / Zhou, X.-f. / Li, G. / He, P.-g. / Fang, Y.-z. / Li, M.-q. / Hu, J. et al. | 2003
- 1070
-
Height measurement of dsDNA and antibodies adsorbed on solid substrates in air by vibrating mode scanning polarization force microscopyLi, Xiao-jun / Sun, Jie-lin / Zhou, Xing-fei / Li, Gang / He, Pin-gang / Fang, Yu-zi / Li, Min-qian / Hu, Jun et al. | 2003
- 1074
-
Improvement on electron field emission properties of nanocrystalline diamond films by co-doping of boron and nitrogenLin, I-Nan / Hsu, Tung / Lin, Gia-Ming / Chou, Yi-Ping / Chen, Tong T. / Cheng, Hsin-Fung et al. | 2003
- 1080
-
Rutherford backscattering analysis of GaN decompositionChoi, H. W. / Cheong, M. G. / Rana, M. A. / Chua, S. J. / Osipowicz, T. / Pan, J. S. et al. | 2003
- 1084
-
Nanocomposite coatings within the system Ti–B–N deposited by plasma assisted chemical vapor depositionStoiber, M. / Mitterer, C. / Schoeberl, T. / Badisch, E. / Fontalvo, G. / Kullmer, R. et al. | 2003
- 1092
-
Coating protecting layers in alternating current-plasma display panelsLee, W. T. / Im, S. J. / Lee, D. Y. / Yu, SeGi / Kim, J. M. / Han, J. G. / Lee, J. W. / Choi, E. H. et al. | 2003
- 1099
-
Improved nucleation of TiN atomic layer deposition films on SiLK low-k polymer dielectric using an atomic layer deposition adhesion layerElam, J. W. / Wilson, C. A. / Schuisky, M. / Sechrist, Z. A. / George, S. M. et al. | 2003
- 1108
-
Characteristics of plasma display with rf microdischargeKang, J. et al. | 2003
- 1112
-
Electrical and plasma property measurements of a deep reactive ion etching Bosch processAbraham, I. C. / Woodworth, J. R. / Riley, M. E. / Miller, P. A. / Shul, R. J. / Willison, C. G. et al. | 2003
- 1120
-
Emission properties of carbon nanotubes grown on various catalytic layers coated glass using plasma-enhanced chemical-vapor deposition with CO gasHan, Jae-Hee / Choi, Sun Hong / Lee, Tae Young / Yoo, Ji-Beom / Park, Chong-Yun / Jung, T. W. / Kim, H. J. / Park, Y. J. / Han, I. T. / Heo, J. N. et al. | 2003
- 1126
-
Measurement of V/III ratio using threshold photoemissionZinck, J. J. / Owen, J. H. G. / Barvosa-Carter, W. et al. | 2003
- 1129
-
Exploring metal vapor vacuum arc implanted copper to catalyze electroless-plated copper film on a TaN/FSG/Si assemblyChen, Uei-Shin / Lin, Jian-Hong / Hsieh, Wei-Jen / Shih, Pai-Shen / Weng, Ko-Wei / Wang, Da-Yung / Chang, Yee-Shyi / Shih, Han C. et al. | 2003
- 1134
-
Comparative study of and ion implantation in GaAs(100): Surface roughness and evaluation of lattice strainKuri, G. / Materlik, G. / Hagen, V. / Wiesendanger, R. et al. | 2003
- 1143
-
Sub-150 nm, high-aspect-ratio features using near-field phase-shifting contact lithographyDang, Hai / Tan, Jackie Lim-Piu / Horn, Mark W. et al. | 2003
- 1149
-
Effect of chemical vapor deposition energy sources on the structure of SiC prepared by carbon nanotubes-confined reactionShajahan, Md. / Mo, Y. H. / Nahm, K. S. et al. | 2003
- 1157
-
Effect of current spreading on luminescence improvement in selectively oxidized AlGaInP light-emitting diodesNee, Tzer-En / Chien, Kuo-Tai / Chou, Yi-Lun / Chou, Li-Chang / Lin, Chung-Han / Lin, Ray-Ming / Fang, Bor-Ren / Chang, Shi-Shya et al. | 2003
- 1161
-
Effects of Ti insertion between Cu and TiN layers on reliability in Cu/Ti/TiN/Ti layered damascene interconnectsAbe, Kazuhide / Onoda, Hiroshi et al. | 2003
- 1169
-
X-ray photoelectron spectroscopy study of electrodeposited nanostructured filmsWang, Adele Qi / Punchaipetch, Prakaipetch / Wallace, Robert M. / Golden, Teresa Diane et al. | 2003
- 1176
-
High aspect ratio etching of atomic force microscope-patterned nitrided siliconHarfenist, Steven A. / Yazdanpanah, Mehdi M. / Cohn, Robert W. et al. | 2003
- 1181
-
Erratum: “The influence of the electronic structure on the field electron emission from carbon nanotubes” [J. Vac. Sci. Technol. B 21, 382 (2003)]Filip, V. / Nicolaescu, D. / Tanemura, M. / Okuyama, F. et al. | 2003
- 1187
-
Gas-induced current decay of molybdenum field emitter arraysReuss, Robert H. / Chalamala, Babu R. et al. | 2003
- 1203
-
Redeposition of etch products on sidewalls during etching in a fluorocarbon plasma. II. Effects of source power and bias voltage in a plasmaMin, Jae-Ho / Hwang, Sung-Wook / Lee, Gyeo-Re / Moon, Sang Heup et al. | 2003
- 1210
-
Redeposition of etch products on sidewalls during etching in a fluorocarbon plasma. III. Effects of addition to plasmaMin, Jae-Ho / Hwang, Sung-Wook / Lee, Gyeo-Re / Moon, Sang Heup et al. | 2003
- 1216
-
Electron emission from nanotips of amorphous diamondKan, M. C. / Huang, J. L. / Sung, J. C. / Lii, D. F. et al. | 2003
- 1224
-
Effect of substrate on the step coverage of plasma-enhanced chemical-vapor deposited tetraethylorthosilicate filmsLan, Jin Kun / Wang, Ying-Lang / Chao, Chuen Guang / Lo, Kuang-yao / Cheng, Yi Lung et al. | 2003
- 1230
-
Enhanced field emission from chemically etched and electropolished broad-area niobiumWang, Tong / Reece, Charles E. / Sundelin, Ronald M. et al. | 2003
- 1240
-
Fabrication of controlled sidewall angles in thin films using isotropic etchesPonoth, Shom S. / Agarwal, Navnit T. / Persans, Peter D. / Plawsky, Joel L. et al. | 2003
- 1248
-
Plasma molding over deep trenches and the resulting ion and energetic neutral distributionsKim, Doosik / Economou, Demetre J. et al. | 2003
- 1254
-
Conductance imaging of thermally desorbed silicon oxideYoung Park, Jeong / Phaneuf , R. J. et al. | 2003
- 1258
-
Temperature-dependent inelastic response of passivated copper films: Experiments, analyses, and implicationsShen, Y.-L. / Ramamurty, U. et al. | 2003
- 1265
-
High-resolution scanning tunneling microscopy imaging of Escherichia coli lysine transfer ribonucleic acidNishimura, Makoto / Tanaka, Hiroyuki / Kawai, Tomoji et al. | 2003
- 1268
-
Etch mechanism and etch-induced effects in the inductively coupled plasma etching of GaNCheung, R. / Rong, B. / van der Drift, E. / Sloof, W. G. et al. | 2003
- 1273
-
Inductively coupled plasma reactive ion etching of ZnO using -based plasmasKim, Han-Ki / Bae, J. W. / Kim, T.-K. / Kim, K.-K. / Seong, T.-Y. / Adesida, I. et al. | 2003
- 1278
-
Transition from sub-Poissonian to super-Poissonian shot noise in planar cold cathodesKrishnan, Rajesh / Cahay, Marc et al. | 2003
- 1286
-
Lithographic characterization of the printability of programmed extreme ultraviolet substrate defectsNaulleau, Patrick / Goldberg, Kenneth A. / Anderson, Erik H. / Bokor, Jeffrey / Gullikson, Eric / Harteneck, Bruce / Jackson, Keith / Olynick, Deirdre / Salmassi, Farhad / Baker, Sherry et al. | 2003
- 1291
-
Field emission electroluminescence on diamond and carbon nanotube filmsKim, U. / Aslam, D. M. et al. | 2003
- 1297
-
Scanning tunneling microscopy and spectroscopy studies of the oxide nanofilms on the stainless steel surfaceChung, Opti Naguan / Chung, Sukmin et al. | 2003
- 1301
-
Rectifying characteristics of sputter-deposited SiGe diodesRu, Guo-Ping / Wang, Guang-Wei / Jiang, Yu-Long / Huang, Wei / Qu, Xin-Ping / Zhu, Shi-Yang / Li, Bing-Zong et al. | 2003
- 1306
-
Rapid thermal annealing effects on the electrical behavior of plasma oxidized silicon/silicon nitride stacks gate insulatorsSan Andrés, E. / del Prado, A. / Mártil, I. / González-Dı́az, G. / Martı́nez, F. L. et al. | 2003
- 1314
-
Modeling of vacancy flux due to stress-induced migrationAoyagi, Minoru et al. | 2003
- 1318
-
Embossing of polymers using a thermosetting polymer mold made by soft lithographyXing, Rubo / Wang, Zhe / Han, Yanchun et al. | 2003
- 1323
-
Copper blocking ability of nitrogen-incorporated silicon oxide filmTakeda, Ken-ichi / Ryuzaki, Daisuke / Mine, Toshiyuki / Hinode, Kenji / Yoneyama, Ryo et al. | 2003
- 1329
-
Reliability retention in in situ pyrolytic-gas passivated ultrathin silicon oxide gate films oxidized at 700 ^oCYamada, H. et al. | 2003
- 1329
-
Reliability retention in in situ pyrolytic-gas passivated ultrathin silicon oxide gate films oxidized at CYamada, Hiroshi et al. | 2003
- 1335
-
Initial phases of heteroepitaxyCalvet, Wolfram / Pettenkofer, Christian / Lewerenz, Hans-Joachim et al. | 2003
- 1344
-
Etching characteristics of porous silica in neutral loop discharge plasmaMorikawa, Yasuhiro / Mizutani, Naoki / Ozawa, Masanori / Hayashi, Toshio / Chen, Wei / Uchida, Taijiro et al. | 2003
- 1350
-
Growth of M-plane GaN films on with high phase puritySun, Yue Jun / Brandt, Oliver / Ploog, Klaus H. et al. | 2003
- 1350
-
Growth of M-plane GaN films on gamma-LiAlO~2(100) with high phase puritySun, Y. J. / Brandt, O. / Ploog, K. H. et al. | 2003
- 1357
-
Field emission induced fabrication of nanostructures on Au thin films using a noncontact mode atomic force microscopePark, Kang-Ho / Kim, Jeongyong / Ha, Jeong Sook / Song, Ki-Bong et al. | 2003
- 1361
-
Lithography-free fabrication of sub-100 nm structures by self-aligned plasma etching of silicon dioxide layers and siliconGeorgiev, G. / Müller-Wiegand, M. / Georgieva, A. / Ludolph, K. / Oesterschulze, E. et al. | 2003
- 1364
-
Electrical characterization of metal–insulator–semiconductor diodesNakano, Yoshitaka / Jimbo, Takashi et al. | 2003
- 1369
-
Three-dimensional measurement and analysis of the light emitted from Ne–Xe (4%) alternating current plasma display panel by an optical methodChoi, Hoon-Young / Lee, Seok-Hyun / Lee, Seung-Gol et al. | 2003
- 1375
-
Silicon carbide formation by methane plasma immersion ion implantation into siliconAn, Zhenghua / Fu, Ricky K. Y. / Chen, Peng / Liu, Weili / Chu, Paul K. / Lin, Chenglu et al. | 2003
- 1380
-
Integrating vertically aligned carbon nanotubes on micromechanical structuresTeh, W. H. / Smith, C. G. / Teo, K. B. K. / Lacerda, R. G. / Amaratunga, G. A. J. / Milne, W. I. / Castignolles, M. / Loiseau, A. et al. | 2003
- 1384
-
Hybrid deposition of sputtered and evaporated multilayer thin filmsMartin, P. M. / Olsen, L. C. / Johnston, J. W. / Depoy, D. M. et al. | 2003
- 1391
-
Fundamental beam studies of deuterium and fluorine radical reaction kinetics on surfacesGreer, Frank / Fraser, D. / Coburn, J. W. / Graves, David B. et al. | 2003
- 1403
-
Polyelectrolyte effects in model photoresist developer solutionsPrabhu, Vivek M. / Jones, Ronald L. / Lin, Eric K. / Wu, Wen-li et al. | 2003
- 1411
-
Method to enhance atomic-layer deposition of tungsten–nitride diffusion barrier for Cu interconnectSim, Hyun Sang / Kim, Seong-Il / Kim, Yong Tae et al. | 2003