Zero-dimensional analysis for discharge characteristics (Englisch)
- Neue Suche nach: Park, W.
- Neue Suche nach: Park, W.
In:
JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY B MICROELECTRONICS AND NANOMETER STRUCTURES
;
25
;
1867-1869
;
2007
-
ISSN:
- Aufsatz (Zeitschrift) / Print
-
Titel:Zero-dimensional analysis for discharge characteristics
-
Beteiligte:Park, W. ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: American Vacuum Society
-
Erscheinungsdatum:01.01.2007
-
Format / Umfang:3 pages
-
ISSN:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Print
-
Sprache:Englisch
- Neue Suche nach: 621.55
- Weitere Informationen zu Dewey Decimal Classification
-
Klassifikation:
DDC: 621.55 -
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis – Band 25
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Characterization of the feature-size dependence in chemically assisted ion beam etching of InP-based photonic crystal devicesBerrier, A. / Mulot, M. / Anand, S. / Talneau, A. / Ferrini, R. / Houdré, R. et al. | 2007
- 11
-
Molecular beam epitaxial growth of indium antimonide and its characterizationPham, H. T. / Yoon, S. F. / Boning, D. / Wicaksono, S. et al. | 2007
- 17
-
Control of micro- and nanopatterns of octadecyltrimethoxysilane monolayers using nanoimprint lithography and atmospheric chemical vapor depositionRessier, Laurence / Martin, Christel / Viallet, Benoît / Grisolia, Jérémie / Peyrade, Jean-Pierre et al. | 2007
- 21
-
Cryogenic etch process development for profile control of high aspect-ratio submicron silicon trenchesPruessner, Marcel W. / Rabinovich, William S. / Stievater, Todd H. / Park, Doewon / Baldwin, Jeffrey W. et al. | 2007
- 29
-
Surface treatments of SiGe for scanning tunneling microscopy/spectroscopy and characterization of SiGe junctionOkui, Toshiko / Tanaka, Yuma / Shiraki, Yasuhiro et al. | 2007
- 33
-
Low-stress silicon carbonitride for the machining of high-frequency nanomechanical resonatorsFischer, L. M. / Wilding, N. / Gel, M. / Evoy, S. et al. | 2007
- 38
-
Fringe field theory and experiment for electrostatic chucking of extreme ultraviolet photomasksRaghunathan, S. / Govindaraju, L. / Ruan, J. / Hartley, J. G. et al. | 2007
- 43
-
Transport mechanisms and the effects of organic layer thickness on the performance of organic Schottky diodesHuang, Chun-Yuan / Lin, Shih-Yen / Cheng, Shiau-Shin / Chou, Shu-Ting / Yang, Chuan-Yi / Ou, Tzu-Min / Wu, Meng-Chyi / Chan, I-Min / Chan, Yi-Jen et al. | 2007
- 47
-
Simple theoretic approach to estimate the effect of gravity and thermophoresis on the diffusional nanoparticle contamination under low pressure conditionsAsbach, Christof / Fissan, Heinz / Kim, Jung Hyeun / Yook, Se-Jin / Pui, David Y. H. et al. | 2007
- 54
-
Nanoscale optical imaging of pigment particles in paint with near-field scanning optical microscopyClark, Beverly / Gurguis, Gamil / Hallen, H. D. et al. | 2007
- 58
-
Remarkably efficient acid generation in chemically amplified resist from quantum chemistry modelingGranovsky, Alex A. / Bochenkova, Anastasia V. / Suetin, Nikolay / Fryer, David / Singh, Vivek et al. | 2007
- 69
-
Electrical, photoelectrical, and luminescent properties of doped -type GaN superlatticesPolyakov, A. Y. / Smirnov, N. B. / Govorkov, A. V. / Shcherbatchev, K. D. / Bublik, V. T. / Voronova, M. I. / Dabiran, Amir M. / Osinsky, A. V. / Pearton, S. J. et al. | 2007
- 74
-
Modeling of the integrated magnetic focusing and gated field-emission device with single carbon nanotubeChen, P.-Y. / Hsu, K.-H. / Cheng, K.-W. / Hung, C.-T. / Wu, J.-S. / Cheng, T.-C. / Yu, J.-P. et al. | 2007
- 82
-
Analysis of interface trap states at Schottky diode by using equivalent circuit modelingJun, Myungsim / Jang, Moongyu / Kim, Yarkyeon / Choi, Cheljong / Kim, Taeyoub / Park, Byungchul / Lee, Seongjae et al. | 2007
- 86
-
Interface chemical characterization of novel stacksMartinez, E. / Renault, O. / Clavelier, L. / Le Royer, C. / Hartmann, J.-M. / Loup, V. / Minoret, S. / Cosnier, V. / Campidelli, Y. / Zegenhagen, J. et al. | 2007
- 91
-
Photon-beam lithography reaches half-pitch resolutionSolak, Harun H. / Ekinci, Yasin / Käser, Philipp / Park, Sunggook et al. | 2007
- 96
-
Optimization and integration of trimethylsilane-based organosilicate glass and organofluorinated silicate glass dielectric thin films for Cu damascene processCheng, Y. L. / Wang, Y. L. / Hwang, G. J. / Lee, W. H. / O’Neill, M. L. / Tang, Allen / Wu, C. L. et al. | 2007
- 102
-
Low temperature ZEP-520A development process for enhanced critical dimension realization in reactive ion etch etched polysiliconWang, H. / Laws, G. M. / Milicic, S. / Boland, P. / Handugan, A. / Pratt, M. / Eschrich, T. / Myhajlenko, S. / Allgair, J. A. / Bunday, B. et al. | 2007
- 106
-
Fabrication of high-resolution multiwall carbon nanotube field-emission cathodes at room temperaturePeng, Yitian / Hu, Yuanzhong / Wang, Hui et al. | 2007
- 109
-
Modeling of field-assisted emission from a negative electron affinity cathodeMayer, A. / Chung, M. S. / Kumar, N. / Weiss, B. L. / Miskovsky, N. M. / Cutler, P. H. et al. | 2007
- 115
-
Fabrication of nanodot array molds for photonanoimprint using anodic porous aluminaKurashima, Yuichi / Yokota, Yoshihiko / Miyamoto, Iwao / Itatani, Taro et al. | 2007
- 120
-
Simple model for dielectrophoretic alignment of gallium nitride nanowiresMotayed, Abhishek / He, Maoqi / Davydov, Albert V. / Melngailis, John / Mohammad, S. N. et al. | 2007
- 124
-
node back end of the line yield evaluation on ultrahigh density interconnect structures using electron beam direct write lithographyIcard, Beatrice / Pain, Laurent / Arnal, V. / Manakli, Serdar / Le-Denmat, Jean-Christophe / Brun, P. / Vincent, Maxime / Soonkindt, Christophe / Minghetti, Blandine / Matsumiya, Tasuku et al. | 2007
- 130
-
Real-time sensing and metrology for atomic layer deposition processes and manufacturingHenn-Lecordier, Laurent / Lei, Wei / Anderle, Mariano / Rubloff, Gary W. et al. | 2007
- 140
-
Optical properties of a multibeam column with a single-electron sourceKamimura, Osamu / Tanimoto, Sayaka / Ohta, Hiroya / Nakayama, Yoshinori / Sakakibara, Makoto / Sohda, Yasunari / Muraki, Masato / Gotoh, Susumu / Hosoda, Masaki / Someda, Yasuhiro et al. | 2007
- 147
-
Influence of oxygen diffusion on residual stress for tantalum thin filmsCheng, M. H. / Cheng, T. C. / Huang, W. J. / Chang, M. N. / Chung, M. K. et al. | 2007
- 152
-
Novel Samsung advanced resist for thermal flow process material for nano-processingChoi, Sang-Jun et al. | 2007
- 156
-
Study of plasma-induced damage of porous ultralow- dielectric films during photoresist strippingXu, Songlin / Qin, Ce / Diao, Li / Gilbert, Dave / Hou, Li / Wiesnoski, Allan / Busch, Eric / McGowan, Ricky / White, Brian / Weber, Frank et al. | 2007
- 164
-
Critical impact of mask electromagnetic effects on optical proximity corrections performance for and beyondAzpiroz, Jaione Tirapu / Rosenbluth, Alan E. / Lai, Kafai / Fonseca, Carlos / Yang, Da et al. | 2007
- 169
-
Effects of corona discharge ions on the synthesis of silver nanoparticles by a supersonic nozzle expansion methodJung, Jae Hee / Park, Hyung Ho / Kim, Sang Soo et al. | 2007
- 175
-
Influence of base additives on the reaction-diffusion front of model chemically amplified photoresistsVogt, Bryan D. / Kang, Shuhui / Prabhu, Vivek M. / Rao, Ashwin / Lin, Eric K. / Wu, Wen-li / Satija, Sushil K. / Turnquest, Karen et al. | 2007
- 183
-
Influence of starting material on analog technology fabrication yield and device component performanceMahalingam, Pushpa / Wu, Xiaoju / Knerr, Ron / Patton, Yvonne / Khan, Imran et al. | 2007
- 189
-
Ballistic recovery in III-V nanowire transistorsGilbert, M. J. / Banerjee, S. K. et al. | 2007
- 194
-
Three dimension analysis of mass separatorLi, Wen-Ping / Han, Li / Gu, Wen-Qi et al. | 2007
- 194
-
Three dimension analysis of ExB mass separatorLi, W.-P. / Han, L. / Gu, W.-Q. et al. | 2007
- 199
-
Effects of surface treatments on hexagonal InN films grown on sapphire substratesCao, L. / Xie, Z. L. / Liu, B. / Xiu, X. Q. / Zhang, R. / Zheng, Y. D. et al. | 2007
- 202
-
Advance static random access memory soft fail analysis using nanoprobing and junction delineation transmission electron microscopyChang, Wen-Tung / Hsieh, Tsung-Eong / Zimmermann, Gunnar / Wang, Lars et al. | 2007
- 208
-
Orogenic movement mechanism for the formation of symmetrical relief features in copper nitride thin filmsJi, A. L. / Du, Y. / Li, C. R. / Wang, Y. Q. / Cao, Z. X. et al. | 2007
- 213
-
contacts on homoepitaxial with surface oxygen plasma treatmentsLin, T. K. / Lam, K. T. / Chang, S. J. / Chiou, Y. Z. / Chang, S. P. et al. | 2007
- 217
-
Leakage current and charge trapping behavior in TiO~2/SiO~2 high-kappa gate dielectric stack on 4H-SiC substrateMahapatra, R. / Chakraborty, A. K. / Poolamai, N. / Horsfall, A. / Chattopadhyay, S. / Wright, N. G. / Coleman, K. S. / Coleman, P. G. / Burrows, C. P. et al. | 2007
- 217
-
Leakage current and charge trapping behavior in high- gate dielectric stack on substrateMahapatra, R. / Chakraborty, Amit K. / Poolamai, N. / Horsfall, A. / Chattopadhyay, S. / Wright, N. G. / Coleman, Karl S. / Coleman, P. G. / Burrows, C. P. et al. | 2007
- 224
-
Process dependence of the thermal conductivity of image reversal photoresist layersHung, Ming-Tsung / Ju, Y. Sungtaek et al. | 2007
- 229
-
Void-free low-temperature silicon direct-bonding technique using plasma activationMa, Xiaobo / Liu, Weili / Song, Zhitang / Li, Wei / Lin, Chenglu et al. | 2007
- 235
-
Local line edge roughness in microphotonic devices: An electron-beam lithography studyMa, Yuansheng / Cheng, Yang-chun / Cerrina, Franco / Barwicz, T. / Smith, H. I. et al. | 2007
- 242
-
Scanning tunneling spectroscopy of single-strand deoxyribonucleic acid for sequencingYoshida, Yuhsuke / Nojima, Yoshihiro / Tanaka, Hiroyuki / Kawai, Tomoji et al. | 2007
- 247
-
Multiple replication of three dimensional structures with undercutsMollenbeck, S. / Bogdanski, N. / Wissen, M. / Scheer, H.-C. / Zajadacz, J. / Zimmer, K. et al. | 2007
- 247
-
Multiple replication of three dimensional structures with undercutsa)Möllenbeck, S. / Bogdanski, N. / Wissen, M. / Scheer, H.-C. / Zajadacz, J. / Zimmer, K. et al. | 2007
- 252
-
Vapor phase deposition of oligo(phenylene ethynylene) molecules for use in molecular electronic devicesGergel-Hackett, Nadine / Cabral, Michael J. / Pernell, Timothy L. / Harriott, Lloyd R. / Bean, John C. / Chen, Bo / Lu, Meng / Tour, James M. et al. | 2007
- 258
-
Effect of surface roughness of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from a neutral beam sourceRanjan, Alok / Helmbrecht, Clemens / Donnelly, Vincent M. / Economou, Demetre J. / Franz, Gerhard F. et al. | 2007
- 264
-
High- micromachined three-dimensional integrated inductors for high-frequency applicationsWeon, Dae-Hee / Jeon, Jong-Hyeok / Mohammadi, Saeed et al. | 2007
- 271
-
Damascene technique applied to surface acoustic wave devicesReitz, D. / Thomas, J. / Schmidt, H. / Menzel, S. / Wetzig, K. / Albert, M. / Bartha, J. W. et al. | 2007
- 271
-
Damascene technique applied to surface acoustic wave devicesa)Reitz, Daniel / Thomas, Juergen / Schmidt, Hagen / Menzel, Siegfried / Wetzig, Klaus / Albert, Matthias / Bartha, Johann W. et al. | 2007
- 277
-
Depth resolution studies in SiGe delta-doped multilayers using ultralow-energy secondary ion mass spectrometryChanbasha, A. R. / Wee, A. T. S. et al. | 2007
- 286
-
Self-aligned microtriode for plasma generation at atmospheric pressureHan, S. H. / Kim, Y. M. et al. | 2007
- 289
-
Mesa structures of GaAs fabricated by nanoparticle mask under gas-cluster ion-beam irradiationYamada, Susumu / Nagano, Masahiro / Houzumi, Shingo / Toyoda, Noriaki / Yamada, Isao et al. | 2007
- 292
-
Erratum: “Microfabrication of ultrahigh density wafer-level thin film compliant interconnects for through-silicon-via based chip stacks” [Arunasalam, Parthiban / Ackler, Harold D. / Sammakia, Bahgat G. et al. | 2007
J. Vac. Sci. Technol. B 24, 1780 (2006)] - 295
-
Effect of neutral beam etching of on the GaN device characteristicsPark, B. J. / Min, K. S. / Lee, H. C. / Bae, J. W. / Kim, D. W. / Yeom, G. Y. et al. | 2007
- 299
-
Influence of deposition pressure and rf power on the structure and electrical properties of thin films prepared by rf magnetron sputteringHuang, Cheng-Liang / Wang, Jun-Jie / Hsu, Cheng-Hsing et al. | 2007
- 306
-
Field emission properties of plasma treated multiwalled carbon nanotube cathode layersNam, J. W. / Alegaonkar, P. S. / Park, J. H. / Yoo, J. B. / Choe, D. H. / Kim, J. M. / Kim, W. S. et al. | 2007
- 312
-
Reactive ion etching technique for via-hole applications in thick GaAs wafersWang, Chih-Cheng / Lin, Yu-Lu / Lin, Shun-Kuan / Li, Chun-Sheng / Huang, Hou-Kuei / Wu, Chang-Luen / Chang, Chian-Sern / Wang, Yeong-Her et al. | 2007
- 318
-
Effects of adsorbates on field emission reproducibility of sulfur-incorporated nanocomposite carbon filmsGonzález-Berríos, Adolfo / Weiner, Brad R. / Morell, Gerardo et al. | 2007
- 324
-
First-layer Si metallizations for thermally stable and smooth Ohmic contacts for high electron mobility transistorsMohammed, Fitih M. / Wang, Liang / Adesida, Ilesanmi et al. | 2007
- 334
-
Application of high spatial resolution scanning work function spectroscopy to semiconductor surfaces and interfacesGao, Min / Brillson, L. J. et al. | 2007
- 343
-
In-place fabrication of nanowire electrode arrays for vertical nanoelectronics on Si substratesFranklin, Aaron D. / Maschmann, Matthew R. / DaSilva, Manuel / Janes, David B. / Fisher, Timothy S. / Sands, Timothy D. et al. | 2007
- 348
-
Nanolithographic patterning of transparent, conductive single-walled carbon nanotube films by inductively coupled plasma reactive ion etchingBehnam, Ashkan / Choi, Yongho / Noriega, Leila / Wu, Zhuangchun / Kravchenko, Ivan / Rinzler, Andrew G. / Ural, Ant et al. | 2007
- 355
-
Analysis of the early stages of stress-induced notch growthAoyagi, Minoru et al. | 2007
- 361
-
Role of Cu on the electrical properties of solar cells: A cross-sectional conductive atomic force microscopy studyMoutinho, H. R. / Dhere, R. G. / Jiang, C.-S. / Gessert, T. / Duda, A. / Young, M. / Metzger, W. K. / Al-Jassim, M. M. et al. | 2007
- 368
-
Integration of electrodes in Si channels using low temperature polymethylmethacrylate bondingDukkipati, V. R. / Pang, S. W. et al. | 2007
- 373
-
Simulation and characterization of a magnetic microdeflector for electron beam control in electron beam microcolumn systemRong, Rong / Kim, Ho Seob / Park, Seong Soon / Hwang, Nam Woo / Kim, Byung Jin / Ahn, Chong H. et al. | 2007
- 380
-
Inspection of all beams in multielectron beam systemTanimoto, Sayaka / Sugaya, Masakazu / Furukawa, Akihiro / Sohda, Yasunari / Hosoda, Masaki / Someda, Yasuhiro / Muraki, Masato / Tamamori, Kenji / Hirose, Futoshi / Nagae, Kenichi et al. | 2007
- 387
-
Detailed analysis of the influence of an inductively coupled plasma reactive-ion etching process on the hole depth and shape of photonic crystals inStrasser, P. / Wüest, R. / Robin, F. / Erni, D. / Jäckel, H. et al. | 2007
- 394
-
Electron-beam focusing characteristics of double-gated carbon nanofiber based field emission sourcesYang, X. / Gardner, W. L. / Baylor, L. R. / Cui, H. / Lowndes, D. H. / Joy, D. C. / Simpson, M. L. et al. | 2007
- 400
-
On-wafer monitoring of electron and ion energy distribution at the bottom of contact holeOhtake, Hiroto / Jinnai, Butsurin / Suzuki, Yuya / Soda, Shinnosuke / Shimmura, Tadashi / Samukawa, Seiji et al. | 2007
- 404
-
Anisotropic fluorocarbon plasma etching of heterostructuresDing, R. / Klein, L. J. / Eriksson, M. A. / Wendt, A. E. et al. | 2007
- 410
-
High fidelity fabrication of microlens arrays by nanoimprint using conformal mold duplication and low-pressure liquid material curingPeng, Can / Liang, Xiaogan / Fu, Zengli / Chou, Stephen Y. et al. | 2007
- 415
-
Synthesis of germanium nanowires on insulator catalyzed by indium or antimonySun, Xuhui / Calebotta, Gabe / Yu, Bin / Selvaduray, Guna / Meyyappan, M. et al. | 2007
- 421
-
Local characterization of vapor-deposited electrode edges in thin film organic electronic devicesSills, S. / Unal, K. / Bozano, L. D. / Frommer, J. / Scott, J. C. et al. | 2007
- 426
-
Nanopatterning with photolithography by photostabilizing bilayer photoresistsTsai, F.-Y. / Jhuo, S.-J. / Lee, J.-T. et al. | 2007
- 430
-
Hydrothermal synthesis and visible light photocatalysis of metal-doped titania nanoparticlesHan, Tao-Yun / Wu, Chu-Fu / Hsieh, Chien-Te et al. | 2007
- 436
-
Fast neutron irradiation effects inPolyakov, A. Y. / Smirnov, N. B. / Govorkov, A. V. / Markov, A. V. / Pearton, S. J. / Kolin, N. G. / Merkurisov, D. I. / Boiko, V. M. / Lee, Cheul-Ro / Lee, In-Hwan et al. | 2007
- 443
-
Sublithographic vertical gold nanogap for label-free electrical detection of protein-ligand bindingJang, Dong-Yoon / Kim, Young-Pil / Kim, Hak-Sung / Ko Park, Sang-Hee / Choi, Sung-Yool / Choi, Yang-Kyu et al. | 2007
- 448
-
Characterization of all-Nb nanodevices fabricated by electron beam lithography and ion beam oxidationIm, Hyunsik / Pashkin, Yu. A. / Yamamoto, T. / Astafiev, O. / Nakamura, Y. / Tsai, J.-S. et al. | 2007
- 455
-
Surface micromachining of a thin film microresonator using dry decomposition of a polymer sacrificial layerPatil, S. B. / Chu, V. / Conde, J. P. et al. | 2007
- 459
-
Surface modification and contamination characterization of ion-enhanced, implanted photoresist removalKawaguchi, Mark N. / Papanu, James S. / Chen, Han-Wen / Su, Bo / Verhaverbeke, Steven et al. | 2007
- 464
-
Field emitter array with a memory function for ultrahigh luminance field emission displayNagao, M. / Yasumuro, C. / Taniguchi, M. / Itoh, S. / Kanemaru, S. / Itoh, J. et al. | 2007
- 471
-
Preface| 2007
- 472
-
Analytical modeling for the electron emission properties of carbon nanotube arraysNicolaescu, D. / Filip, V. / Takaoka, G. H. / Gotoh, Y. / Ishikawa, J. et al. | 2007
- 478
-
New analysis of the energy distribution of field electrons from -type semiconductorsBae, Hae K. / Hong, Soon C. / Chung, Moon S. et al. | 2007
- 484
-
Simulation studies of self-focusing carbon nanotube field emitterLiao, Ko Pin / Hu, Yuan / Lin, Tsang-Lang / Lan, Yung-Chiang et al. | 2007
- 493
-
Space charge effects on the characteristics of field emission arraysLin, Ming-Chieh et al. | 2007
- 497
-
Screen effects on field emission from an array of one-dimensional nanostructures grown on silicon substrates: A simulation study using classical transport modelLan, Yung-Chiang / Yan, MingXun / Liu, Wen-Jen et al. | 2007
- 504
-
Thermal model of miniaturized Schottky emitter for parallel electron beam lithographyDokania, A. K. / Velthuis, J. F. M. / Zhang, Yanxia / Kruit, Pieter et al. | 2007
- 508
-
Work function of low index crystal facet of tungsten evaluated by the Seppen-Katamuki analysisGotoh, Y. / Mukai, K. / Kawamura, Y. / Tsuji, H. / Ishikawa, J. et al. | 2007
- 513
-
Electron emission from low surface barrier cathodesSemet, V. / Adessi, Ch. / Capron, T. / Thien Binh, Vu et al. | 2007
- 517
-
Behaviors of single nitrogen molecule on pentagon at carbon nanotube tip observed by field emission microscopyWaki, Shunsaku / Hata, Koichi / Sato, Hideki / Saito, Yahachi et al. | 2007
- 521
-
X-ray photoelectron spectroscopy and low-energy electron diffraction analyses on zirconium oxide modified (100) surface of molybdenumKawakubo, Takashi / Satoh, Shinya / Nakane, Hideaki / Adachi, Hiroshi et al. | 2007
- 524
-
Emission characteristics of nanocrystalline porous silicon ballistic cold cathode in atmospheric ambienceOhta, Toshiyuki / Kojima, Akira / Koshida, Nobuyoshi et al. | 2007
- 528
-
Field emission characteristics of surface-reconstructed heavily phosphorus-doped homoepitaxial diamondYamada, Takatoshi / Yamaguchi, Hisato / Kudo, Yuki / Okano, Ken / Shikata, Shin-ichi / Nebel, Christoph E. et al. | 2007
- 532
-
Emission current scaling in nanodiamond lateral field emission devicesSubramanian, K. / Kang, W. P. / Davidson, J. L. et al. | 2007
- 536
-
Field emission from metal-coated nanocrystalline graphitic filmsDeng, Jicai / Zhang, Lan / Zhang, Binglin / Yao, Ning / Fang, Lili et al. | 2007
- 540
-
Current-voltage and electron emission characteristics of diamond particlesYuan, Guang / Gu, Zhangzhi / Song, Hang / Mimura, Hidenori et al. | 2007
- 545
-
Growth and field electron emission properties of nanostructured white carbon filmsZhang, Lan / Ma, Huizhong / Yao, Ning / Lu, Zhanling / Zhang, Binglin et al. | 2007
- 548
-
Carbon nanotube lateral field emitters with integrated metallic anodeWong, Y. M. / Kang, W. P. / Davidson, J. L. / Choi, B. K. / Huang, J. H. et al. | 2007
- 552
-
Post-treatment of screen-printed carbon nanotube emitter by selective plasma etchingYu, Jun / Chen, Jun / Deng, S. Z. / She, J. C. / Xu, N. S. et al. | 2007
- 557
-
KrF laser surface treatment of carbon nanotube cathodes with and without reactive ion etchingOhsumi, K. / Honda, T. / Kim, W. S. / Oh, C. B. / Murakami, K. / Abo, S. / Wakaya, F. / Takai, M. / Nakata, S. / Hosono, A. et al. | 2007
- 561
-
Field emission of individual carbon nanotubes on tungsten tipsBai, Xin / Wang, Mingsheng / Zhang, Gengmin / Yu, Jie / Zhang, Zhaoxiang / Guo, Dengzhu / Zhao, Xingyu / Xue, Zengquan et al. | 2007
- 566
-
Improved field-emission characteristics of a multiwalled carbon-nanotube cathode by argon plasma pretreatment and krypton-fluoride laser irradiationKim, W. S. / Honda, T. / Oh, C. B. / Ohsumi, K. / Murakami, K. / Abo, S. / Wakaya, F. / Takai, M. et al. | 2007
- 570
-
Effect of double layer coating on carbon nanotubes for field emission and secondary electron emission measurementLee, Jungwoo / Park, Jaehong / Kim, Jeongyoul / Yi, Whikun et al. | 2007
- 575
-
Arrays of isolated tufts of vertically aligned carbon nanotubes for field emission cathodesMauger, M. / Mouton, R. / Hamzaoui, O. / Binh, Vu Thien et al. | 2007
- 579
-
Low temperature growth of carbon nanotubes by alcohol catalytic chemical vapor deposition for field emitter applicationsSato, Hideki / Hata, Koichi / Hiasa, Ken / Saito, Yahachi et al. | 2007
- 583
-
High-current-density field emission from multiwalled carbon nanotubes by chemical-vapor deposition with effective aging treatmentMu, Hui / Zhang, Xiaobing / Lei, Wei / Lou, Chaogang / Wang, Qilong / Yin, Xiaowei et al. | 2007
- 586
-
Field emission properties of bare and gold-coated nickel nanowires grown in polymer ion-track membranesDangwal, A. / Müller, G. / Maurer, F. / Brötz, J. / Fuess, H. et al. | 2007
- 590
-
Fabrication and field emission properties of regular hexagonal flowerlike ZnO nanowhiskersLi, Chen / Lei, Wei / Zhang, Xiaobing / Wang, J. X. / Sun, X. W. / Tan, S. T. et al. | 2007
- 594
-
Characterization and field emission properties of lanthanum monosulfide nanoprotrusion arrays obtained by pulsed laser deposition on self-assembled nanoporous alumina templatesCahay, M. / Garre, K. / Fraser, J. W. / Lockwood, D. J. / Semet, V. / Thien Binh, Vu / Bandyopadhyay, S. / Pramanik, S. / Kanchibotla, B. / Fairchild, S. et al. | 2007
- 604
-
Field emission characteristics of polymethyl methacrylate polymer thin filmHuang, W. Y. / Liu, C. H. / Chen, Jun / Deng, S. Z. / Xu, N. S. et al. | 2007
- 608
-
Application of ZnO nanopins as field emitters in a field-emission-display deviceWei, Lei / Zhang, Xiaobing / Zuoya, Zhu et al. | 2007
- 611
-
Measuring hydrogen-carbon gas inside high-vacuum glass panels by the comparative infrared light methodChen, Yi-Hsien / Chien, Yu-Han / Fu, Chuan-Hsu / Lin, Wee-Yee / John Su, Guo-Dung et al. | 2007
- 615
-
Residual gas analysis based on carbon nanotube field emission displayWang, Jinchan / Zhang, Xiaobing / Lei, Wei / Xiao, Mei / Cui, Yunkang / Di, Yunsong / Mao, Fuming et al. | 2007
- 618
-
Cathodoluminescent properties of phosphor for field-emission display applicationsDuan, C. Y. / Chen, J. / Deng, S. Z. / Xu, N. S. / Zhang, J. H. / Liang, H. B. / Su, Q. et al. | 2007
- 623
-
Architecture of field emission display based on a gate electrode of diabolo modeYang, Xiaxi / Zhang, Xiaobing / Lei, Wei / Zhang, Zichen / Chen, Jing / Zhang, Lifang et al. | 2007
- 627
-
Development of carbon nanotubes vacuum field emission differential amplifier integrated circuitWong, Y. M. / Kang, W. P. / Davidson, J. L. / Kerns, D. V. / Huang, J. H. et al. | 2007
- 631
-
Interaction mechanism of a terahertz wave generator using a field emission cathodeLin, Ming-Chieh / Lu, Pu-Shih et al. | 2007
- 636
-
Millimeter wave generator based on field emission cathodeLin, Ming-Chieh / Lu, Pu-Shih et al. | 2007
- 640
-
Development of compact field emission scanning electron microscope equipped with multiwalled carbon nanotube bundle cathodeYabushita, Ryosuke / Hata, Koichi / Sato, Hideki / Saito, Yahachi et al. | 2007
- 643
-
CdTe x-ray sensing driven by electron beam from field emittersNeo, Yoichiro / Ikeda, Yoshiaki / Sakata, Takuya / Morii, Hisashi / Shiozawa, Kazufumi / Aoki, Toru / Mimura, Hidenori et al. | 2007
- 646
-
Novel gun of rf power amplifier based on always-on cold cathodeWang, Qilong / Di, Yunsong / Lei, Wei / Zhang, Xiaobing / Wang, Jinchan / Mu, Hui et al. | 2007
- 651
-
Bayard-Alpert ionization gauge using carbon-nanotube cold cathodeHuang, J. X. / Chen, Jun / Deng, S. Z. / Xu, N. S. et al. | 2007
- 655
-
Integration of a dose control circuit with a vertically aligned nanofiber field emission deviceRahman, T. / Islam, S. K. / Vijayaraghavan, R. / Gundman, T. / Eliza, S. A. / Hossain, A. / Blalock, B. / Randolph, S. J. / Baylor, L. R. / Bigelow, T. S. et al. | 2007
- 661
-
Development of a high-resolution active-matrix electron emitter array for application to high-sensitivity image sensingNegishi, Nobuyasu / Matsuba, Youhei / Tanaka, Ryota / Nakada, Tomonari / Sakemura, Kazuto / Okuda, Yoshiyuki / Watanabe, Atsushi / Yoshikawa, Takamasa / Ogasawara, Kiyohide / Nanba, Masakazu et al. | 2007
- 666
-
Fabrication and characteristics of novel graphite field emitters for application to electron-beam-pumped light sourcesShiozawa, Kazufumi / Neo, Yoichiro / Okada, Morihiro / Matsumoto, Takahiro / Takahashi, Masafumi / Hashiguchi, Gen / Mimura, Hidenori et al. | 2007
- 670
-
Effect of UV irradiation during synthesis of polypyrrole by a one-step deposition/polymerization processChang, Sunyoung / Park, Jaehong / Jang, Jiyoung / Lee, Jungwoo / Lee, Jaeseung / Yi, Whikun et al. | 2007
- 677
-
Intermediate-layer lithography method for producing metal micropatternsLiu, Xinchuan / Luo, Cheng et al. | 2007
- 686
-
Properties of Fe-doped, thick, freestanding GaN crystals grown by hydride vapor phase epitaxyPolyakov, A. Y. / Smirnov, N. B. / Govorkov, A. V. / Vdovin, V. I. / Markov, A. V. / Shlensky, A. A. / Prebble, Ed / Hanser, Drew / Zavada, J. M. / Pearton, S. J. et al. | 2007
- 691
-
Comprehensive investigation on emitter ledge length of heterojunction bipolar transistorsFu, Ssu-I / Liu, Rong-Chau / Cheng, Shiou-Ying / Lai, Po-Hsien / Tsai, Yan-Ying / Hung, Ching-Wen / Chen, Tzu-Pin / Liu, Wen-Chau et al. | 2007
- 697
-
Crystallographic wing tilt and thermal-stress distribution of GaN laterally overgrown on maskless V-grooved sapphire substrate by metal-organic chemical vapor depositionXing, Zhigang / Wang, J. / Wang, Y. / Wang, X. H. / Zhou, Z. T. / Chen, H. / Zhou, J. M. et al. | 2007
- 703
-
Experimental investigation of micro-mesoscale Knudsen compressor performance at low pressuresHan, Y.-L. / Muntz, E. P. et al. | 2007
- 715
-
Etch mechanisms of hybrid low- material (SiOCH with porogen) in fluorocarbon based plasmaEon, D. / Darnon, M. / Chevolleau, T. / David, T. / Vallier, L. / Joubert, O. et al. | 2007
- 721
-
Fabrication of GaN suspended photonic crystal membranes and resonant nanocavities on Si(111)Rosenberg, A. / Bussmann, K. / Kim, Mijin / Carter, Michael W. / Mastro, M. A. / Holm, Ronald T. / Henry, Richard L. / Caldwell, Joshua D. / Eddy, Charles R. et al. | 2007
- 725
-
Manufacturing method for the fabrication of sub- current-perpendicular-to-plane spin valve sensorsHan, G. C. / Li, K. B. / Zheng, Y. K. / Qiu, J. J. / Luo, P. / An, L. H. / Guo, Z. B. / Liu, Z. Y. / Wu, Y. H. et al. | 2007
- 730
-
Fabrication of suspended single crystal diamond devices by electrochemical etchWang, C. F. / Hu, E. L. / Yang, J. / Butler, J. E. et al. | 2007
- 734
-
Improved performance of a dual-passivated heterojunction bipolar transistorCheng, Shiou-Ying / Fu, Ssu-I / Liu, Wen-Chau et al. | 2007
- 739
-
Profile control of novel non-Si gates using plasmaShamiryan, Denis / Paraschiv, Vasile / Eslava-Fernandez, Salvador / Demand, Marc / Baklanov, Mikhail / Beckx, Stephan / Boullart, Werner et al. | 2007
- 745
-
Nanoscale resist morphologies of dense gratings using electron-beam lithographyMohammad, M. A. / Dew, S. K. / Westra, K. / Li, P. / Aktary, M. / Lauw, Y. / Kovalenko, A. / Stepanova, M. et al. | 2007
- 754
-
Epitaxial growth of films on GaN (0001) by pulsed laser depositionLiu, Chang / Chor, Eng Fong / Tan, Leng Seow / Du, Anyan et al. | 2007
- 760
-
Low-damage fabrication of high aspect nanocolumns by using neutral beams and ferritin-iron-core maskKubota, Tomohiro / Baba, Tomohiro / Saito, Suguru / Yamasaki, Satoshi / Kumagai, Shinya / Matsui, Takuo / Uraoka, Yukiharu / Fuyuki, Takashi / Yamashita, Ichiro / Samukawa, Seiji et al. | 2007
- 767
-
Poly- gate stack etching in high-density plasmasLe Gouil, A. / Joubert, O. / Cunge, G. / Chevolleau, T. / Vallier, L. / Chenevier, B. / Matko, I. et al. | 2007
- 779
-
Study of photoresist etching and roughness formation in electron-beam generated plasmasOrf, Bryan J. / Walton, Scott G. / Leonhardt, Darrin / Oehrlein, Gottlieb S. et al. | 2007
- 785
-
UV nanoimprint materials: Surface energies, residual layers, and imprint qualitySchmitt, H. / Frey, L. / Ryssel, H. / Rommel, M. / Lehrer, C. et al. | 2007
- 791
-
Formation and morphology of InGaN nanoislands on GaN(0001)Gangopadhyay, S. / Schmidt, Th. / Einfeldt, S. / Yamaguchi, T. / Hommel, D. / Falta, J. et al. | 2007
- 796
-
Sn quantum dots embedded in formed by low energy ion implantationZhao, J. P. / Meng, Y. / Huang, D. X. / Chu, W. K. / Rabalais, J. W. et al. | 2007
- 801
-
Mask material effects in cryogenic deep reactive ion etchingSainiemi, L. / Franssila, S. et al. | 2007
- 808
-
Spontaneous formation of Si nanocones vertically aligned to Si wafersTsuji, Yoshiko / Nakamura, Shinichi / Noda, Suguru et al. | 2007
- 813
-
Process for scanning near-field microwave microscope probes with integrated ultratall coaxial tipsWang, Yaqiang / Bettermann, Alan D. / van der Weide, Daniel W. et al. | 2007
- 817
-
Electron emission from -coated silicon-tip arraysBian, H. J. / Chen, X. F. / Pan, J. S. / Sun, Chang Q. / Zhu, W. et al. | 2007
- 822
-
Embedded vertically grown carbon nanotubes for field emission applicationsAbdi, Yaser / Koohsorkhi, Javad / Mohajerzadeh, Shams / Darbari, Sara / Sanaee, Zeinab et al. | 2007
- 829
-
Analysis of the driving characteristic of carbon nanotube triode with high frequencyChai, Weiqiang / Lou, Chaogang / Wang, Qilong / Lei, Wei / Zhang, Xiaobing et al. | 2007
- 833
-
Ordered silicon nanostructures by ion beam induced glancing angle depositionPatzig, Christian / Rauschenbach, Bernd / Erfurth, Wilfried / Milenin, Alexey et al. | 2007
- 839
-
Low bias reactive ion etching of GaAs with a time-multiplexed processGolka, S. / Schartner, S. / Schrenk, W. / Strasser, G. et al. | 2007
- 845
-
Characteristics of atomic-layer-deposited thin gate dielectricsTriyoso, D. H. / Hegde, R. I. / Schaeffer, J. K. / Gregory, R. / Wang, X.-D. / Canonico, M. / Roan, D. / Hebert, E. A. / Kim, K. / Jiang, J. et al. | 2007
- 853
-
Position measurement method for alignment in UV imprint using a high index mold and “electronic” moiré techniqueSuehira, Nobuhito / Terasaki, Atsunori / Okushima, Shingo / Seki, Junichi / Ono, Haruhito / Ina, Hideki et al. | 2007
- 853
-
Position measurement method for alignment in UV imprint using a high index mold and "electronic" moire techniqueSuehira, N. / Terasaki, A. / Okushima, S. / Seki, J. / Ono, H. / Ina, H. et al. | 2007
- 857
-
Characterization and control of unconfined lateral diffusion under stencil masksRácz, Zoltán / Seabaugh, Alan et al. | 2007
- 862
-
Nanopattern transfer to by ion track lithography and highly selective HF vapor etchingSkupiński, M. / Jensen, J. / Johansson, A. / Possnert, G. / Boman, M. / Hjort, K. / Razpet, A. et al. | 2007
- 868
-
Novel spin-on hard mask with Si-containing bottom antireflective coating for nanolithographyChoi, Sang-Jun et al. | 2007
- 873
-
Function of quantum-confinement effect in the heterostructure with an AlN interfacial layerKong, Y. C. / Chu, R. M. / Zheng, Y. D. / Zhou, C. H. / Gu, S. L. / Zhang, R. / Han, P. / Shi, Y. / Jiang, R. L. et al. | 2007
- 877
-
Fabrication of nanostructures using a -methylcalix[4]resorcinarene dielectric spacerFleischer, M. / Panteleit, F. / Wharam, D. A. et al. | 2007
- 881
-
High-adhesive back side metallization of ultrathin wafersFelmetsger, Valery V. et al. | 2007
- 886
-
Analyses of chamber wall coatings during the patterning of ultralow- materials with a metal hard mask: Consequences on cleaning strategiesChevolleau, T. / Darnon, M. / David, T. / Posseme, N. / Torres, J. / Joubert, O. et al. | 2007
- 893
-
Nanosized tungsten carbide for reductionRumaiz, A. K. / Lin, H. Y. / Baldytchev, I. / Shah, S. Ismat et al. | 2007
- 899
-
Defect-free two-dimensional-photonic crystal structures on a nonlinear optical polymer patterned by nanoimprint lithographyOkinaka, Motoki / Inoue, Shin-ichiro / Tsukagoshi, Kazuhito / Aoyagi, Yoshinobu et al. | 2007
- 902
-
High gain heterojunction bipolar transistor fabricated on substrateLew, K. L. / Yoon, S. F. / Loke, W. K. / Tanoto, H. / Dohrman, C. L. / Isaacson, D. M. / Fitzgerald, E. A. et al. | 2007
- 906
-
Restoration and pore sealing of plasma damaged porous organosilicate low dielectrics with phenyl containing agentsLiu, J. / Kim, W. / Bao, J. / Shi, H. / Baek, W. / Ho, P. S. et al. | 2007
- 913
-
In~0~.~6~8Ga~0~.~3~2As/Al~0~.~6~4In~0~.~3~6As/InP 4.5 mum quantum cascade lasers grown by solid phosphorus molecular beam epitaxyChen, J. / Malis, O. / Sergent, A. M. / Sivco, D. L. / Weimann, N. / Cho, A. Y. et al. | 2007
- 913
-
quantum cascade lasers grown by solid phosphorus molecular beam epitaxyChen, J. / Malis, O. / Sergent, A. M. / Sivco, D. L. / Weimann, N. / Cho, A. Y. et al. | 2007
- 916
-
Nanosoldering of carbon nanotubes on metal electrodes with an atomic force microscopeLee, Sungjun / Park, Ji-Yong / Cho, Yong Sung / Park, Yong Dae / Kuk, Young / Chung, Jin Wan et al. | 2007
- 922
-
Focused ion beam tomography of a microelectronic device with sub- resolutionYeoh, T. S. / Ives, N. A. / Presser, N. / Stupian, G. W. / Leung, M. S. / McCollum, J. L. / Hawley, F. W. et al. | 2007
- 926
-
High quality of material grown by solid source molecular beam epitaxy for laser device printing applicationsHernandez, I. C. / McElhinney, M. / Zeng, L. / Mishournyi, V. A. et al. | 2007
- 931
-
Fabrication of local microvacuum package incorporating Si field emitter array and Ti getterNoda, Daiji / Hatakeyama, Masanori / Nishijyou, Kichinosuke / Sawada, Kazuaki / Ishida, Makoto et al. | 2007
- 935
-
Fabrication and material properties of NiTi nanofluidChang, Ho / Hsu, Yi-Chun et al. | 2007
- 940
-
Novelty and versatility of self-catalytic nanowire growth: A case study with InN nanowiresHe, Maoqi / Noor Mohammad, S. et al. | 2007
- 945
-
Effect of surface pretreatment and substrate orientation on the characteristics of InAs quantum dots on Si and substratesYoon, I. / Yi, C. / Kim, T. / Brown, A. S. / Seabaugh, A. et al. | 2007
- 955
-
Effects of temperature, nitrogen ions, and antimony on wide depletion width GaInNAsPtak, A. J. / Friedman, D. J. / Kurtz, Sarah et al. | 2007
- 960
-
Impact of arsenic species on the relaxation and morphology of step-graded on InP substratesZhang, Wanming / Yi, Changhyun / Brown, April et al. | 2007
- 964
-
Epitaxial overgrowth of GaN nanocolumnsAverett, K. L. / Van Nostrand, J. E. / Albrecht, J. D. / Chen, Y. S. / Yang, C. C. et al. | 2007
- 969
-
Kinetics of gallium adlayer adsorption/desorption on polar and nonpolar GaN surfacesChoi, Soojeong / Kim, Tong-Ho / Everitt, Henry O. / Brown, April / Losurdo, Maria / Bruno, Giovanni / Moto, Akihiro et al. | 2007
- 974
-
Direct-write composition patterning of InGaN by focused thermal beam during molecular-beam epitaxyChen, X. / Schaff, W. J. / Eastman, L. F. et al. | 2007
- 978
-
Thermodynamic analysis of cation incorporation during molecular beam epitaxy of nitride films using metal-rich growth conditionsHoke, W. E. / Torabi, A. / Mosca, J. J. / Kennedy, T. D. et al. | 2007
- 983
-
Promising new valved source for Ga or In evaporationSacks, R. N. / Bichrt, Craig et al. | 2007
- 987
-
Chemical beam epitaxy of multiquantum well solar cellFreundlich, A. / Fotkatzikis, A. / Bhusal, L. / Williams, L. / Alemu, A. / Zhu, W. / Coaquira, J. A. H. / Feltrin, A. / Radhakrishnan, G. et al. | 2007
- 991
-
Molecular beam epitaxy growth of antimonide type-ll "W" high-power interband cascade lasers and long-wavelength infrared photodiodesKim, C.S. / Canedy, C.L. / Aifer, E.H. / Kim, M. / Bewley, W.W. / Tischler, J.G. / Larrabee, D.C. / Nolde, J.A. / Warner, J.H. / Vurgaftman, I. et al. | 2007
- 991
-
Molecular beam epitaxy growth of antimonide type-II “W” high-power interband cascade lasers and long-wavelength infrared photodiodesKim, C. S. / Canedy, C. L. / Aifer, E. H. / Kim, M. / Bewley, W. W. / Tischler, J. G. / Larrabee, D. C. / Nolde, J. A. / Warner, J. H. / Vurgaftman, I. et al. | 2007
- 995
-
Intersubband transitions in molecular-beam-epitaxy-grown wide band gap II-VI semiconductorsShen, A. / Lu, H. / Tamargo, M. C. / Charles, W. / Yokomizo, I. / Song, C. Y. / Liu, H. C. / Zhang, S. K. / Zhou, X. / Alfano, R. R. et al. | 2007
- 999
-
Anomalous x-ray diffraction study of disorders in epitaxial films of the Heusler alloyCollins, Brian A. / Zhong, Yuncheng / Chu, Yong S. / He, Liang / Tsui, Frank et al. | 2007
- 1004
-
Magnetoresistance of fully epitaxial lateral spin valvesHolub, M. / Saha, D. / Bhattacharya, P. et al. | 2007
- 1009
-
Reproducible reflection high energy electron diffraction signatures for improvement of AlN using in situ growth regime characterizationBurnham, Shawn D. / Namkoong, Gon / Lee, Kyoung-Keun / Doolittle, W. Alan et al. | 2007
- 1014
-
Real time optical monitoring of molecular beam epitaxy of InN on SiC substratesLosurdo, Maria / Kim, Tong-Ho / Choi, Soojeong / Wu, Pae / Giangregorio, Maria M. / Bruno, Giovanni / Brown, April et al. | 2007
- 1019
-
In situ spectroscopic ellipsometry to monitor surface plasmon resonant group-III metals deposited by molecular beam epitaxyWu, Pae C / Losurdo, Maria / Kim, Tong-Ho / Choi, Soojeong / Bruno, Giovanni / Brown, April S. et al. | 2007
- 1024
-
GdGaO: A gate dielectric for GaAs metal-oxide-semiconductor field-effect transistorsHolland, M. / Stanley, C. R. / Reid, W. / Thayne, I. / Paterson, G. W. / Long, A. R. / Longo, P. / Scott, J. / Craven, A. J. / Gregory, R. et al. | 2007
- 1029
-
Epitaxial calcium oxide films deposited on gallium nitride surfacesLosego, Mark D. / Mita, Seiji / Collazo, Ramon / Sitar, Zlatko / Maria, Jon-Paul et al. | 2007
- 1033
-
Thin, crystalline MgO on hexagonal by molecular beam epitaxy for functional oxide integrationGoodrich, T. L. / Cai, Z. / Losego, M. D. / Maria, J.-P. / Ziemer, K. S. et al. | 2007
- 1039
-
Integration of functional epitaxial oxides into silicon: From high- application to nanostructuresOsten, H. J. / Kühne, D. / Laha, A. / Czernohorsky, M. / Bugiel, E. / Fissel, A. et al. | 2007
- 1044
-
Growth by molecular beam epitaxy of self-assembled InAs quantum dots on InAlAs and InGaAs lattice-matched to InPSimmonds, P. J. / Beere, H. E. / Li, H. W. / See, P. / Shields, A. J. / Ritchie, D. A. et al. | 2007
- 1049
-
rf oxygen plasma assisted molecular beam epitaxy growth of thin films on (001)Kabelac, J. / Ghosh, S. / Dobal, P. / Katiyar, R. et al. | 2007
- 1053
-
Epitaxial growth and strain relaxation of thin films on buffered (001) Si by molecular beam epitaxyNiu, F. / Wessels, B. W. et al. | 2007
- 1058
-
Unintentional calcium incorporation in Ga(Al, In, N)AsHurst, J. B. / Lewis, Shannon D. / Oye, Michael M. / Holmes, Archie L. / Ptak, A. J. / Reedy, R. C. et al. | 2007
- 1063
-
Effect of Al composition on filtering of threading dislocations by heterostructures grown on GaAs (001)Edirisooriya, M. / Mishima, T. D. / Santos, M. B. et al. | 2007
- 1066
-
Optical monitoring of molecular beam epitaxy growth of using single-wavelength laser interferometry: A simple method of tracking real-time changes in growth rateGriffiths, C. L. / Weeks, K. J. et al. | 2007
- 1072
-
Kinetic Monte Carlo simulation of InAs quantum dot growth on nonlithographically patterned substratesGuo, W. / Guico, R. S. / Xu, J. M. / Beresford, R. et al. | 2007
- 1077
-
Excitation dependent photoluminescence measurements of the nonradiative lifetime and quantum efficiency in GaAsJohnson, S. R. / Ding, D. / Wang, J.-B. / Yu, S.-Q. / Zhang, Y.-H. et al. | 2007
- 1083
-
Midinfrared InGaAsSb quantum well lasers with digitally grown tensile-strained AlGaAsSb barriersLi, W. / Shao, H. / Moscicka, D. / Torfi, A. / Wang, W. I. et al. | 2007
- 1087
-
Optical properties of molecular-beam-epitaxy-grown InGaMnAs thin filmsPeiris, F. C. / Hungerford, J. I. / Maksimov, O. / Samarth, N. et al. | 2007
- 1090
-
Molecular-beam epitaxy of phosphor-free InAlGaAs multiple-quantum-well lasers on InP (100)Li, W. / Moscicka, D. / Torfi, A. / Wang, W. I. et al. | 2007
- 1090
-
Molecular-beam epitaxy of phosphor-free 1.3 mum InAlGaAs multiple-quantum-well lasers on InP (100)Li, W. / Moscicka, D. / Torfi, A. / Wang, W. I. et al. | 2007
- 1093
-
Fabrication and optical characterization of highly ordered quantum dots on nonlithographically patterned substratesGuico, Rodney S. / Tzolov, Marian / Guo, Wei / Cloutier, Sylvain G. / Beresford, Roderic / Xu, Jimmy et al. | 2007
- 1098
-
Molecular-beam epitaxy growth of device-compatible GaAs on silicon substrates with thin step-graded buffer layers for high- III-V metal-oxide-semiconductor field effect transistor applicationsOye, Michael M. / Shahrjerdi, Davood / Ok, Injo / Hurst, Jeffrey B. / Lewis, Shannon D. / Dey, Sagnik / Kelly, David Q. / Joshi, Sachin / Mattord, Terry J. / Yu, Xiaojun et al. | 2007
- 1098
-
Molecular-beam epitaxy growth of device-compatible GaAs on silicon substrates with thin (∼80 nm) Si~1~-~xGe~x step-graded buffer layers for high-kappa III-V metal-oxide-semiconductor field effect transistor applicationsOye, M. M. / Shahrjerdi, D. / Ok, I. / Hurst, J. B. / Lewis, S. D. / Dey, S. / Kelly, D. Q. / Joshi, S. / Mattord, T. J. / Yu, X. et al. | 2007
- 1103
-
Study of intersubband transitions Zn~xCd~1~-~xSe/Zn~x'Cd~y'Mg~1~-~x~'~-~y~'Se multiple quantum wells grown by molecular beam epitaxy for midinfrared device applicationsLu, H. / Shen, A. / Tamargo, M. C. / Charles, W. / Yokomizo, I. / Munoz, M. / Gong, Y. / Neumark, G. F. / Franz, K. J. / Gmachl, C. et al. | 2007
- 1103
-
Study of intersubband transitions of multiple quantum wells grown by molecular beam epitaxy for midinfrared device applicationsLu, H. / Shen, A. / Tamargo, M. C. / Charles, W. / Yokomizo, I. / Muñoz, M. / Gong, Y. / Neumark, G. F. / Franz, K. J. / Gmachl, C. et al. | 2007
- 1108
-
-like centers in QDIPs observed by polarization-dependent Fourier transform infrared spectroscopyZhao, Z. Y. / Yi, C. / Stiff-Roberts, A. D. / Hoffman, A. J. / Wasserman, D. / Gmachl, C. et al. | 2007
- 1113
-
Optical studies of molecular beam epitaxy grown single quantum well structuresNunna, Kalyan / Iyer, S. / Wu, L. / Bharatan, S. / Li, Jia / Bajaj, K. K. / Wei, X. / Senger, R. T. et al. | 2007
- 1123
-
Long-term stability of Ru-based protection layers in extreme ultraviolet lithography: A surface science approachOver, H. / He, Y. B. / Farkas, A. / Mellau, G. / Korte, C. / Knapp, M. / Chandhok, M. / Fang, M. et al. | 2007
- 1139
-
Etching with HF/pyridine-supercritical carbon dioxide solutions and resultant interfacial electronic propertiesLi, Y. X. / Yang, D. / Jones, C. A. / DeSimone, J. M. / Irene, E. A. et al. | 2007
- 1143
-
Adsorption mechanism of aligned single wall carbon nanotubes at well defined metal surfacesClair, Sylvain / Rabot, Caroline / Kim, Yousoo / Kawai, Maki et al. | 2007
- 1147
-
Ultrahigh-resolution pattern using electron-beam lithography HF wet etchingTiron, R. / Mollard, L. / Louveau, O. / Lajoinie, E. et al. | 2007
- 1152
-
Influence of substrate morphology on growth mode of thin organic films: An atomic force microscopy studyRibič, Primož Rebernik / Bratina, Gvido et al. | 2007
- 1156
-
Direct-write trilayer technology for superconductor-insulator-normal metal tunnel junction fabricationOtto, Ernst / Tarasov, Mikhail / Kuzmin, Leonid et al. | 2007
- 1161
-
Proton exchange and diffusion in using inductance coupled high density plasmaRen, Z. / Heard, P. J. / Yu, S. et al. | 2007
- 1166
-
Deposition of sacrificial silicon oxide layers by electron cyclotron resonance plasmaBiasotto, C. / Daltrini, A. M. / Teixeira, R. C. / Boscoli, F. A. / Diniz, J. A. / Moshkalev, S. A. / Doi, I. et al. | 2007
- 1171
-
Microfluidic encapsulated nanoelectromechanical resonatorsAubin, Keith L. / Huang, Jingqing / Park, Seung-Min / Yang, Yanou / Kondratovich, Marianna / Craighead, Harold G. / Ilic, Bojan R. et al. | 2007
- 1175
-
Influence of field emission on agglomerated carbon nanotubes in pastesLee, Yang Doo / Lee, Hyeon Jae / Lee, Yun-Hi / Ju, Byeong-Kwon et al. | 2007
- 1179
-
Adhesion between template materials and UV-cured nanoimprint resistsHoule, F. A. / Guyer, Eric / Miller, D. C. / Dauskardt, Reinhold et al. | 2007
- 1186
-
Resonant cavity enhanced dots-in-a-well quantum dot infrared photodetectorAttaluri, R. S. / Shao, J. / Posani, K. T. / Lee, S. J. / Brown, J. S. / Stintz, A. / Krishna, S. et al. | 2007
- 1191
-
Synthesis of carbon nanotubes: Controlled fabrication of intraconnectsKatz, D. / Lee, S. W. / Lopez, D. / Kornblit, A. / Grebel, H. et al. | 2007
- 1197
-
Focused ion beam etching for the fabrication of micropillar microcavities made of III-V semiconductor materialsHo, Y.-L. D. / Gibson, R. / Hu, C. Y. / Cryan, M. J. / Rarity, J. G. / Heard, P. J. / Timpson, J. A. / Fox, A. M. / Skolnick, M. S. / Hopkinson, M. et al. | 2007
- 1203
-
Thermal stability of rare-earth based ultrathin for high- dielectricsDarmawan, P. / Lee, P. S. / Setiawan, Y. / Lai, J. C. / Yang, P. et al. | 2007
- 1207
-
Spreading of thin-film metal patterns deposited on nonplanar surfaces using a shadow mask micromachined in Si (110)Tiggelaar, R. M. / Berenschot, J. W. / Elwenspoek, M. C. / Gardeniers, J. G. E. / Dorsman, R. / Kleijn, C. R. et al. | 2007
- 1217
-
Epitaxial growth ofHe, L. / Collins, B. A. / Tsui, F. / Zhong, Y. / Vogt, S. / Chu, Y. S. et al. | 2007