Repeater Insertion in Tree Structured Inductive Interconnect (Englisch)
- Neue Suche nach: Ismail, Y. I.
- Neue Suche nach: Friedman, E. G.
- Neue Suche nach: Neves, J. L.
- Neue Suche nach: IEEE
- Neue Suche nach: Association of Computing Machinery
- Neue Suche nach: Ismail, Y. I.
- Neue Suche nach: Friedman, E. G.
- Neue Suche nach: Neves, J. L.
- Neue Suche nach: IEEE
- Neue Suche nach: Association of Computing Machinery
In:
Computer-aided design
;
420-424
;
1999
-
ISBN:
- Aufsatz (Konferenz) / Print
-
Titel:Repeater Insertion in Tree Structured Inductive Interconnect
-
Beteiligte:Ismail, Y. I. ( Autor:in ) / Friedman, E. G. ( Autor:in ) / Neves, J. L. ( Autor:in ) / IEEE / Association of Computing Machinery
-
Kongress:International conference, Computer-aided design ; 1999 ; San Jose, CA
-
Erschienen in:Computer-aided design ; 420-424
-
Verlag:
- Neue Suche nach: IEEE Computer Society
-
Erscheinungsdatum:01.01.1999
-
Format / Umfang:5 pages
-
Anmerkungen:Also known as ICCAD-99. IEEE Cat no 99CH37051
-
ISBN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 2
-
Marsh:min-area retiming with setup and hold constraintsSundararajan, V. / Sapatnekar, S.S. / Parhi, K.K. et al. | 1999
- 7
-
OPTIMISTA: state minimization of asynchronous FSMs for optimum output logicFuhrer, R.M. et al. | 1999
- 14
-
Bit-level arithmetic optimization for carry-save additionsKei Yong Khoo, / Willson, A.N. et al. | 1999
- 20
-
Attractor-repeller approach for global placementEtawil, H. / Areibi, S. / Wannelli, A. et al. | 1999
- 25
-
Cell replication and redundancy elimination during placement for cycle time optimizationNeumann, I. / Stoffel, D. / Hartje, H. / Kunz, W. et al. | 1999
- 31
-
Concurrent logic restructuring and placement for timing closureJinan Lou, / Wei Chen, / Pedram, M. et al. | 1999
- 37
-
Implicit enumeration of strongly connected componentsAiguo Xie, / Beerel, P.A. et al. | 1999
- 41
-
Least fixpoint approximations for reachability analysisIn-Ho Moon, / Shiple, T. / Somenzi, F. et al. | 1999
- 45
-
Lazy group sifting for efficient symbolic state traversal of FSMsHiguchi, H. / Somenzi, F. et al. | 1999
- 50
-
Efficient manipulation algorithms for linearly transformed BDDsGunther, W. / Drechsler, R. et al. | 1999
- 55
-
Noise analysis of non-autonomous radio frequency circuitsMehrotra, A. / Sangiovanni-Vincentelli, A.L. et al. | 1999
- 61
-
New methods for speeding up computation of Newton updates in harmonic balanceGourary, M. / Ulyanov, S. / Zharov, M. / Rusakov, S. / Gullapalli, K. / Mulvaney, B. et al. | 1999
- 65
-
Design and optimization of LC oscillatorsHershenson, M.D.M. / Hajimiri, A. / Mohan, S.S. / Boyd, S.P. / Lee, T.H. et al. | 1999
- 70
-
Modeling and simulation of the interference due to digital switching in mixed-signal ICsDemir, A. / Feldmann, P. et al. | 1999
- 76
-
Provably good algorithm for low power consumption with dual supply voltagesChunhong Chen, / Sarrafzadeh, M. et al. | 1999
- 80
-
A novel design methodology for high performance and low power digital filtersMuhammad, K. / Roy, K. et al. | 1999
- 84
-
A bipartition-codec architecture to reduce power in pipelined circuitsShanq-Jang Ruan, / Rung-Ji Shang, / Feipei Lai, / Shyh-Jong Chen, / Xian-Jun Huang, et al. | 1999
- 91
-
AKORD: transistor level and mixed transistor/gate level placement tool for digital data pathsSerdar, T. / Sechen, C. et al. | 1999
- 98
-
Analytical approach to custom datapath designAskar, S. / Ciesielski, M. et al. | 1999
- 102
-
An integrated algorithm for combined placement and libraryless technology mappingYanbin Jiang, / Sapatnekar, S.S. et al. | 1999
- 107
-
Timing-driven partitioning for two-phase domino and mixed static/domino implementationsMin Zhao, / Sapatnekar, S.S. et al. | 1999
- 111
-
Implication graph based domino logic synthesiski-Wook Kim, / Liu, C.L. / Sung-Mo Kang, et al. | 1999
- 115
-
Synthesis for multiple input wires replacement of a gate for wiring considerationShih-Chieh Chang, / Jung-Cheng Chuang, / Zhong-Zhen Wu, et al. | 1999
- 120
-
Transient sensitivity computation for transistor level analysis and tuningNguyen, T.V. / O'Brien, P. / Winston, D. et al. | 1999
- 124
-
An efficient method for hot-spot identification in ULSI circuitsYi-Kan Cheng, / Sung-Mo Kang, et al. | 1999
- 128
-
A scalable substrate noise coupling model for mixed-signal ICsSamavedam, A. / Mayaram, K. / Fiez, T. et al. | 1999
- 132
-
Towards true crosstalk noise analysisPinhong Chen, / Keutzer, K. et al. | 1999
- 139
-
SAT based ATPG using fast justification and propagation in the implication graphTafertshofer, P. / Ganz, A. et al. | 1999
- 147
-
Techniques for improving the efficiency of sequential circuit test generationXijiang Lin, / Pomeranz, I. / Reddy, S.M. et al. | 1999
- 152
-
Concurrent D-algorithm on reconfigurable hardwareKocan, F. / Saab, D.G. et al. | 1999
- 157
-
A new heuristic for rectilinear Steiner treesMandoiu, I.I. / Vazirani, V.V. / Ganley, J.L. et al. | 1999
- 163
-
An implicit connection graph maze routing algorithm for ECO routingCong, J. / Jie Fang, / Kei-Yong Khoo, et al. | 1999
- 168
-
The associative-skew clock routing problemYu Chen, / Kahng, A.B. / Gang Qu, / Zelikovsky, A. et al. | 1999
- 173
-
Efficient incremental rerouting for fault reconfiguration in field programmable gate arraysDutt, S. / Shanmugavel, V. / Trimberger, S. et al. | 1999
- 178
-
Optimal P/N width ratio selection for standard cell librariesKung, D.S. / Puri, R. et al. | 1999
- 185
-
Performance optimization under rise and fall parametersMurgai, R. et al. | 1999
- 191
-
Performance optimization using separator setsTamiya, Y. et al. | 1999
- 195
-
Factoring logic functions using graph partitioningGolumbic, M.C. / Mintz, A. et al. | 1999
- 200
-
TICER: Realizable reduction of extracted RC circuitsSheehan, B.N. et al. | 1999
- 204
-
Realizable reduction for RC interconnect circuitsDevgan, A. / O'Brien, P.R. et al. | 1999
- 208
-
RLC interconnect delay estimation via moments of amplitude and phase responseXiaodong Yang, / Ku, W.H. / Chung-Kuan Cheng, et al. | 1999
- 214
-
Practical considerations for passive reduction of RLC circuitsOdabasioglu, A. / Celik, M. / Pileggi, L.T. et al. | 1999
- 221
-
Formal Verification Meets SimulationDill, D. L. / Tasiran, S. / IEEE / Association of Computing Machinery et al. | 1999
- 223
-
Interconnect parasitic extraction in the digital IC design methodologyKamon, M. / McCormick, S. / Shepard, K. et al. | 1999
- 232
-
Cycle time and slack optimization for VLSI-chipsAlbrecht, C. / Korte, B. / Schietke, J. / Vygen, J. et al. | 1999
- 239
-
Clock skew scheduling for improved reliability via quadratic programmingKourtev, I.S. / Friedman, E.G. et al. | 1999
- 244
-
Formulation of static circuit optimization with reduced size, degeneracy and redundancy by timing graph manipulationVisweswariah, C. / Conn, A.R. et al. | 1999
- 253
-
Function inlining under code size constraints for embedded processorsLeupers, R. / Marwedel, P. et al. | 1999
- 257
-
Function unit specialization through code analysisBenyamin, D. / Mangione-Smith, W.H. et al. | 1999
- 261
-
Lower bound on latency for VLIW ASIP datapathsJacome, M.F. / De Veciana, G. et al. | 1999
- 270
-
Interface and cache power exploration for core-based embedded system designGivargis, T.D. / Henkel, J. / Vahid, F. et al. | 1999
- 274
-
Dynamic power management using adaptive learning treeEui-Young Chung, / Benini, L. / De Micheli, G. et al. | 1999
- 280
-
Analytical macromodeling for high-level power estimationBernacchia, G. / Papaefthymiou, M.C. et al. | 1999
- 284
-
Parameterized RTL power models for combinational soft macrosBogliolo, A. / Corgnati, R. / Macii, E. / Poncino, M. et al. | 1999
- 289
-
Validation and test generation for oscillatory noise in VLSI interconnectsSinha, A. / Gupta, S.K. / Breuer, M.A. et al. | 1999
- 297
-
Fault modeling and simulation for crosstalk in system-on-chip interconnectsCuviello, M. / Dey, S. / Xiaoliang Bai, / Yi Zhao, et al. | 1999
- 304
-
Robust optimization based backtrace method for analog circuitsGomes, A.V. / Chatterjee, A. et al. | 1999
- 309
-
A methodology for correct-by-construction latency insensitive designCarloni, L.P. / McMillan, K.L. / Saldanha, A. / Sangiovanni-Vincentelli, A.L. et al. | 1999
- 316
-
What is the cost of delay insensitivity?Saito, H. / Kondratyev, A. / Cortadella, J. / Yakovlev, A. et al. | 1999
- 324
-
Synthesis of asynchronous control circuits with automatically generated relative timing assumptionsCortadella, J. / Kishinevsky, M. / Burns, S.M. / Stevens, K. et al. | 1999
- 332
-
Direct synthesis of timed asynchronous circuitsSung Tae Jung, / Myers, C.J. et al. | 1999
- 339
-
Co-synthesis of heterogeneous multiprocessor systems using arbitrated communicationRhodes, D.L. / Wolf, W. et al. | 1999
- 343
-
Power minimization using system-level partitioning of applications with quality of service requirementsGang Qu, / Potkonjak, M. et al. | 1999
- 347
-
Worst-case analysis of discrete systemsBalarin, F. et al. | 1999
- 354
-
Integrated floorplanning and interconnect planningHung-Ming Chen, / Hai Zhou, / Young, F.Y. / Wong, D.F. / Yang, H.H. / Sherwani, N. et al. | 1999
- 358
-
Buffer block planning for interconnect-driven floorplanningCong, J. / Tianming Kong, / Pan, D.Z. et al. | 1999
- 364
-
A clustering- and probability-based approach for time-multiplexed FPGA partitioningChao, M.C.-T. / Guang-Ming Wu, / Jiang, I.-H.-R. / Yao-Wen Chang, et al. | 1999
- 370
-
The Chebyshev expansion based passive model for distributed interconnect networksWang, J.M. / Kuh, E.S. / Qinglian Yu, et al. | 1999
- 376
-
Model reduction for DC solution of large nonlinear circuitsGad, E. / Nakhla, M. et al. | 1999
- 380
-
Efficient model reduction of interconnect via approximate system gramiansLi, J.-R. / White, J. et al. | 1999
- 385
-
A framework for testing core-based systems-on-a-chipRavi, S. / Lakshminarayana, G. / Jha, N.K. et al. | 1999
- 391
-
Test scheduling for core-based systemsChakrabarty, K. et al. | 1999
- 395
-
Partial BIST insertion to eliminate data correlationQinshuang Zhang, / Harris, I. et al. | 1999
- 400
-
A graph theoretic optimal algorithm for schedule compression in time-multiplexed FPGA partitioningHuiqun Liu, / Wong, D.F. et al. | 1999
- 406
-
Throughput optimization of general non-linear computationsHong, I. / Potkonjak, M. / Guerra, L.M. et al. | 1999
- 410
-
Optimal allocation of carry-save-adders in arithmetic optimizationJunhyung Um, / Taewhan Kim, / Liu, C.L. et al. | 1999
- 414
-
Regularity extraction via clan-based structural circuit decompositionHassoun, S. / McCreary, C. et al. | 1999
- 420
-
Repeater insertion in tree structured inductive interconnectIsmail, Y.I. / Friedman, E.G. / Neves, J.L. et al. | 1999
- 425
-
Interconnect scaling implications for CADHo, R. / Mai, K. / Kapadia, H. / Horowitz, M. et al. | 1999
- 430
-
Is wire tapering worthwhile?Alpert, C.J. / Devgan, A. / Quay, S.T. et al. | 1999
- 437
-
Electromagnetic parasitic extraction via a multipole method with hierarchical refinementBeattie, M.W. / Pileggi, L.T. et al. | 1999
- 445
-
Virtual screening: a step towards a sparse partial inductance matrixDammers, A.J. / Van Der Meijs, N.P. et al. | 1999
- 453
-
A wide frequency range surface integral formulation for 3-D RLC extractionWang, J. / Tausch, J. / White, J. et al. | 1999
- 459
-
SOI Technology and ToolsNassif, S. R. / Nguyen, T. V. / IEEE / Association of Computing Machinery et al. | 1999
- 461
-
System Level Design and Debug of High-Performance Embedded Media SystemsVissers, K. A. / van der Wolf, P. / van Rootselaar, G.-J. / IEEE / Association of Computing Machinery et al. | 1999
- 463
-
An approach for improving the levels of compaction achieved by vector omissionPomeranz, I. / Reddy, S.M. et al. | 1999
- 467
-
Deep submicron defect detection with the energy consumption ratioVinnakota, B. et al. | 1999
- 471
-
Efficient diagnosis of path delay faults in digital logic circuitsPant, P. / Chatterjee, A. et al. | 1999
- 477
-
Memory bank customization and assignment in behavioral synthesisPanda, P.R. et al. | 1999
- 482
-
Memory binding for performance optimization of control-flow intensive behaviorsKhouri, K.S. / Lakshminarayana, G. / Jha, N.K. et al. | 1999
- 489
-
Improved interconnect sharing by identity operation insertionHerrmann, D. / Ernst, R. et al. | 1999
- 494
-
Formal specification and verification of a dataflow processor arrayHenzinger, T.A. / Xiaojun Liu, / Qadeer, S. / Rajamani, S.K. et al. | 1999
- 500
-
Distributed simulation of VLSI systems via lookahead-free self-adaptive optimistic and conservative synchronizationShi, C.-J.R. et al. | 1999
- 505
-
Synchronous equivalence for embedded systems: a tool for design explorationHsieh, H. / Sangiovanni-Vincentelli, A. / Balarin, F. / Lavagno, L. et al. | 1999
- 511
-
On the global fanout optimization problemMurgai, R. et al. | 1999
- 516
-
LEOPARD: a Logical Effort-based fanout OPtimizer for ARea and DelayAjami, A.H. / Pedram, M. / Savoj, H. et al. | 1999
- 520
-
Optimum loading dispersion for high-speed tree-type decision circuitryJiang, J.-H.R. / Jiang, I.H.-R. et al. | 1999
- 526
-
Symbolic functional and timing verification of transistor-level circuitsMcDonald, C.B. / Bryant, R.E. et al. | 1999
- 531
-
Body-voltage estimation in digital PD-SOI circuits and its application to static timing analysisShepard, K.L. / Dae-Jin Kim, et al. | 1999
- 539
-
Functional timing optimizationSaldanha, A. et al. | 1999
- 544
-
Timing-safe false path removal for combinational modulesKukimoto, Y. / Brayton, R.K. et al. | 1999
- 551
-
JMTP: an architecture for exploiting concurrency in embedded Java applications with real-time considerationsOlukotun, K. et al. | 1999
- 558
-
FunState-an internal design representation for codesignThiele, L. / Strehl, K. / Ernst, R. / Teich, J. et al. | 1999
- 566
-
Fast performance analysis of bus-based system-on-chip communication architecturesLahiri, K. / Raghunathan, A. / Dey, S. et al. | 1999
- 574
-
Probabilistic state space searchKuehlmann, A. / McMillan, K.L. / Brayton, R.K. et al. | 1999
- 580
-
Improving coverage analysis and test generation for large designsBergmann, J.P. / Horowitz, M.A. et al. | 1999
- 584
-
Modeling design constraints and biasing in simulation using BDDsYuan, J. / Shultz, K. / Pixley, C. / Miller, H. / Aziz, A. et al. | 1999
- 591
-
Copyright protection of designs based on multi source IPsCharbon, E. / Torunoglu, I. et al. | 1999
- 596
-
Localized watermarking: methodology and application to operation schedulingKirovski, D. / Potkonjak, M. et al. | 1999
- 600
-
Copy detection for intellectual property protection of VLSI designsKahng, A.B. / Kirovski, D. / Mantik, S. / Potkonjak, M. / Wong, J.L. et al. | 1999
- 606
-
Path Toward Future CAD Environments for MEMSFedder, G. K. / Mukherjee, T. / IEEE / Association of Computing Machinery et al. | 1999
- 608
-
Design of a set-top box system on a chipFoster, E. et al. | 1999
- 609
-
On the rapid prototyping and design of a wireless communication system on a chipKelley, B. et al. | 1999
- 611
-
Advances in Transistor Timing, Simulation, and OptimizationAvidan, J. / Elfadel, A. / Wong, D. F. / IEEE / Association of Computing Machinery et al. | 1999
- 613
-
Embedded Java: Techniques and ApplicationsBarry, B. / Duimovich, J. / IEEE / Association of Computing Machinery et al. | 1999
- 615
-
Author index| 1999
- i
-
1999 IEEE/ACM International Conference on Computer-Aided Design. [Front Cover and Table of Contents]| 1999