1D-6-44 Improved Crystalline Quality of GaN by Substrate Ion Beam Pre-Treatment (Englisch)
- Neue Suche nach: Byun, D.
- Neue Suche nach: Cho, Y. S.
- Neue Suche nach: Kim, J.
- Neue Suche nach: Park, Y. J.
- Neue Suche nach: Kim, E. K.
- Neue Suche nach: Kim, G.
- Neue Suche nach: Koh, E.-K.
- Neue Suche nach: Min, S.-K.
- Neue Suche nach: Japan Society of Applied Physics
- Neue Suche nach: Byun, D.
- Neue Suche nach: Cho, Y. S.
- Neue Suche nach: Kim, J.
- Neue Suche nach: Park, Y. J.
- Neue Suche nach: Kim, E. K.
- Neue Suche nach: Kim, G.
- Neue Suche nach: Koh, E.-K.
- Neue Suche nach: Min, S.-K.
- Neue Suche nach: Japan Society of Applied Physics
In:
International microprocesses and nanotechnology conference
;
220-221
;
2001
-
ISBN:
- Aufsatz (Konferenz) / Print
-
Titel:1D-6-44 Improved Crystalline Quality of GaN by Substrate Ion Beam Pre-Treatment
-
Beteiligte:Byun, D. ( Autor:in ) / Cho, Y. S. ( Autor:in ) / Kim, J. ( Autor:in ) / Park, Y. J. ( Autor:in ) / Kim, E. K. ( Autor:in ) / Kim, G. ( Autor:in ) / Koh, E.-K. ( Autor:in ) / Min, S.-K. ( Autor:in ) / Japan Society of Applied Physics
-
Kongress:International microprocesses and nanotechnology conference ; 2001 ; Shimane, Japan
-
Erschienen in:
-
Verlag:
- Neue Suche nach: Japan Society of Applied Physics
-
Erscheinungsdatum:01.01.2001
-
Format / Umfang:2 pages
-
Anmerkungen:IEEE cat no 01EX468
-
ISBN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 2
-
Intraocular retinal prosthesis: microelectronics meets medicineLiu, W. et al. | 2001
- 2
-
31P-1-1 Intraocular Retinal Prosthesis: Microelectronics Meets Medicine (Plenary)Liu, W. / Japan Society of Applied Physics et al. | 2001
- 4
-
31P-1-2 Network Device Technologies for Ubiquitous IT in the 21st Century (Plenary)Arakawa, Y. / Japan Society of Applied Physics et al. | 2001
- 4
-
Network device technologies for ubiquitous IT in the 21st centuryArakawa, Y. et al. | 2001
- 6
-
CMOS design challenges to power wallKuroda, T. et al. | 2001
- 6
-
31P-1-3 CMOS Design Challenges to Power Wall (Plenary)Kuroda, T. / Japan Society of Applied Physics et al. | 2001
- 8
-
A new PSM system: SCAAM with Phase Phirst!Levenson, M.D. / Ebihara, T. / Desai, S. / White, S. et al. | 2001
- 8
-
31A-2-1 A New PSM System: SCAAM with Phase Phirst! (Invited)Levenson, M. D. / Ebihara, T. / Desai, S. / White, S. / Japan Society of Applied Physics et al. | 2001
- 10
-
31A-2-2 Implementation of Phase Shift Focus Monitor under Modified IlluminationNakao, S. / Miyamoto, Y. / Maejima, S. / Ueno, A. / Yamashita, S. / Miyazaki, J. / Tokui, A. / Tsujita, K. / Arimoto, I. / Japan Society of Applied Physics et al. | 2001
- 10
-
Implementation of phase shift focus monitor under modified illumination [photolithography]Nakao, S. / Miyamoto, Y. / Maejima, S. / Ueno, A. / Yamashita, S. / Miyazaki, J. / Tokui, A. / Tsujita, K. / Arimoto, I. et al. | 2001
- 12
-
31A-2-3 The Double Exposure Strategy Using OPC & Simulation and the Performance on Wafer with Sub-0.10um Design Rule in ArF LithographyOh, S.-Y. / Kim, W.-H. / Yune, H.-S. / Kim, H.-B. / Kim, S.-M. / Ahn, C.-N. / Ham, Y.-M. / Shin, K.-S. / Japan Society of Applied Physics et al. | 2001
- 12
-
The double exposure strategy using OPC and simulation and the performance on wafer with sub-0.10 /spl mu/m design rule in ArF lithographySe-Young Oh, / Wan-Ho Kim, / Hyoung-Soon Yune, / Hee-Bom Kim, / Seo-Min Kim, / Chang-Nam Ahn, / Young-Mog Ham, / Ki-Soo Shin, et al. | 2001
- 14
-
Rigorous modeling of scattered light in EUV camerasKrautschik, C. / Ito, M. / Nishiyama, I. et al. | 2001
- 14
-
31A-2-5 Rigorous Modeling of Scattered Light in EUV CamerasKrautschik, C. / Ito, M. / Nishiyama, I. / Japan Society of Applied Physics et al. | 2001
- 16
-
31B-2-1 Portable Biochemical Detection Systems Using Microfluidic and BioMEMS Devices (Invited)Ahn, C. H. / Japan Society of Applied Physics et al. | 2001
- 16
-
Portable biochemical detection systems using microfluidic and BioMEMS devicesAhn, C.H. et al. | 2001
- 18
-
Integrating combinatorial synthesis on a single glass microchipKikutani, Y. / Horiuchi, T. / Hisamoto, H. / Uchiyama, K. / Tokeshi, M. / Kitamori, T. et al. | 2001
- 18
-
31B-2-2 Integrating Combinatorial Synthesis on a Single Glass MicrochipKikutani, Y. / Horiuchi, T. / Hisamoto, H. / Uchiyama, K. / Tokeshi, M. / Kitamori, T. / Japan Society of Applied Physics et al. | 2001
- 20
-
Inner-channel preparation of polymer membrane by interfacial polycondensationShimizu, Y. / Hisamoto, H. / Hibara, A. / Tokeshi, M. / Kitamori, T. et al. | 2001
- 20
-
31B-2-3 Inner-Channel Preparation of Polymer Membrane by Interfacial PolycondensationShimizu, Y. / Hisamoto, H. / Hibara, A. / Tokeshi, M. / Kitamori, T. / Japan Society of Applied Physics et al. | 2001
- 22
-
Nanohole formation by FIB and its application to biomolecular sensorsSakamoto, T. / Kawaura, H. et al. | 2001
- 22
-
31B-2-4 Nanohole Formation by FIB and Its Application to Biomolecular SensorsSakamoto, T. / Kawaura, H. / Japan Society of Applied Physics et al. | 2001
- 24
-
Prototype of micro flow cell for multi-analyte sandwich immunoassayHonda, N. / Shoji, S. / Isomura, M. / Ashihara, Y. / Akahori, K. / Sato, H. et al. | 2001
- 24
-
31B-2-5 Prototype of Micro Flow Cell for Multi-Analyte Sandwich ImmunoassayHonda, N. / Shoji, S. / Isomura, M. / Ashihara, Y. / Akahori, K. / Sato, H. / Japan Society of Applied Physics et al. | 2001
- 26
-
Development of high performance immunoassay system using multichannel microchipYamanaka, M. / Sato, K. / Tokeshi, M. / Katou, H. / Kimura, H. / Kitamori, T. et al. | 2001
- 26
-
31B-2-6 Development of High Performance Immunoassay System Using Multichannel MicrochipYamanaka, M. / Sato, K. / Tokeshi, M. / Katou, H. / Kimura, H. / Kitamori, T. / Japan Society of Applied Physics et al. | 2001
- 28
-
31C-2-1 Etching Issues in Eching Compound and Si-Based Device Fabrication (Invited)Wilkinson, C. D. W. / Rahman, M. / Japan Society of Applied Physics et al. | 2001
- 28
-
Etching issues in etching compound and Si-based device fabricationWilkinson, C.D.W. / Rahman, M. et al. | 2001
- 30
-
31C-2-2 Nano-Topography Removal Employing Numerically Controlled Local Dry EtchingYanagisawa, M. / Okuya, T. / Iida, S. / Horiike, Y. / Japan Society of Applied Physics et al. | 2001
- 30
-
Nano-topography removal employing numerically controlled local dry etchingYanagisawa, M. / Okuya, T. / Iida, S. / Horiike, Y. et al. | 2001
- 32
-
31C-2-3 Ultra-Smooth Surface Preparation with Gas Cluster Ion BeamsToyoda, N. / Matsui, S. / Yamada, I. / Japan Society of Applied Physics et al. | 2001
- 32
-
Ultra-smooth surface preparation with gas cluster ion beamsToyoda, N. / Matsui, S. / Yamada, I. et al. | 2001
- 34
-
Development of 3-D focused-ion-beam (FIB) etching methods for nano- and micro-technology applicationKim, S.-J. / Yamashita, T. / Lee, K.-Y. / Nagao, M. / Sato, M. / Maeda, H. et al. | 2001
- 34
-
31C-2-4 Development of 3-D Focused-Ion-Beam (FIB) Etching Methods for Nano- and Micro-Technology ApplicationKim, S.-J. / Yamashita, T. / Lee, K.-Y. / Nagao, M. / Sato, M. / Maeda, H. / Japan Society of Applied Physics et al. | 2001
- 36
-
31C-2-5 Next Generation Dielectric Etching Technology (Invited)Sekine, M. / Japan Society of Applied Physics et al. | 2001
- 36
-
Next generation dielectric etching technologySekine, M. et al. | 2001
- 38
-
Development of low energy e-beam proximity projection lithography: LEEPLShimazu, N. et al. | 2001
- 38
-
31A-3-1 Development of Low Energy E-Beam Proximity Projection Lithorgaphy:(LEEPL) (Invited)Shimazu, N. / Japan Society of Applied Physics et al. | 2001
- 40
-
31A-3-2 Progress and Preliminary Results on EB Stepper (Invited)Kawata, S. / Hamashima, M. / Miura, T. / Suzuki, K. / Okamoto, K. / Yamaguchi, T. / Japan Society of Applied Physics et al. | 2001
- 40
-
Progress and preliminary results on EB stepperKawata, S. / Hamashima, M. / Miura, T. / Suzuki, K. / Okamoto, K. / Yamaguchi, T. et al. | 2001
- 42
-
31A-3-3 Proximity Effect Correction for Large Patterns in Electron-Beam Projection LithographyOsawa, M. / Takahashi, K. / Sato, M. / Arimoto, H. / Ogino, K. / Hoshino, H. / Machida, Y. / Japan Society of Applied Physics et al. | 2001
- 42
-
Proximity effect correction for large patterns in electron-beam projection lithographyOsawa, M. / Takahashi, K. / Sato, M. / Arimoto, H. / Ogino, K. / Hoshino, H. / Machida, Y. et al. | 2001
- 44
-
31A-3-5 Influence of SOI Wafer Stress Properties on Placement Accuracy of Stencil MasksKamm, F.-M. / Ehrmann, A. / Schafer, H. / Butschke, J. / Spinger, R. / Haugeneder, E. / Japan Society of Applied Physics et al. | 2001
- 44
-
Influence of SOI wafer stress properties on placement accuracy of stencil masksKamm, F.-M. / Ehrmann, A. / Schafer, H. / Butschke, J. / Spinger, R. / Haugeneder, E. et al. | 2001
- 46
-
31A-3-6 Resolution of 1:1 Electron Stepper Lithography Based on Patterned Cold CathodeHongo, H. / Ochiai, Y. / Kawaura, H. / Japan Society of Applied Physics et al. | 2001
- 46
-
Resolution of 1:1 electron stepper lithography based on patterned cold cathodeHongo, H. / Ochiai, Y. / Kawaura, H. et al. | 2001
- 48
-
31B-3-1 MEMS Micro-switches for Use in DC, RF, and Optical Applications (Invited)Suzuki, K. / Japan Society of Applied Physics et al. | 2001
- 48
-
MEMS micro-switches for use in DC, RF, and optical applicationsSuzuki, K. et al. | 2001
- 50
-
31B-3-2 Microbubble Actuators - An Introduction to Microscale Thermal Fluid Engineering - (Invited)Takahashi, K. / Japan Society of Applied Physics et al. | 2001
- 50
-
Microbubble actuators - an introduction to microscale thermal fluid engineeringTakahashi, K. et al. | 2001
- 52
-
31B-3-3 Microfabricated Electrical Connector for AFM Probes with Integrated Sensor/ActuatorAkiyama, T. / Staufer, U. / de Rooij, N. F. / Japan Society of Applied Physics et al. | 2001
- 52
-
Microfabricated electrical connector for AFM probes with integrated sensor/actuatorAkiyama, T. / Staufer, U. / de Rooij, N.F. et al. | 2001
- 54
-
31B-3-4 Long Ni Cantilever Fabrication with New Sacrificial ProcessKawata, H. / Tabata, J. / Yasuda, M. / Murata, K. / Japan Society of Applied Physics et al. | 2001
- 54
-
Long Ni cantilever fabrication with new sacrificial processKawata, H. / Tabata, J. / Yasuda, M. / Murata, K. et al. | 2001
- 56
-
31B-3-5 Characterization of Thermopile Based on CMOS Materials and Post CMOS MicromachiningDu, C.-H. / Lee, C. / Japan Society of Applied Physics et al. | 2001
- 56
-
Characterization of thermopile based on CMOS materials and post CMOS micromachiningChen-Hsun Du, / Chengkuo Lee, et al. | 2001
- 58
-
31B-3-6 Tunable Fiber Bragg Grating Combined with Twisting MicroactuatorSasaki, M. / Miura, K. / Hane, K. / Japan Society of Applied Physics et al. | 2001
- 58
-
Tunable fiber Bragg grating combined with twisting microactuatorSasaki, M. / Miura, K. / Hane, K. et al. | 2001
- 60
-
31C-3-1 SPM Application of Carbon Nanotubes: Probes and Tweezers (Invited)Nakayama, Y. / Akita, S. / Japan Society of Applied Physics et al. | 2001
- 60
-
SPM application of carbon nanotubes: probes and tweezersNakayama, Y. / Akita, S. et al. | 2001
- 62
-
Laser-SQUID microscopy: novel nondestructive and non-electrical-contact tool for inspection, monitoring and analysis of LSI-chip-electrical-defectsNikawa, K. et al. | 2001
- 62
-
31C-3-2 Laser-SQUID Microscopy: Novel Nondestructive and Non-Electrical-Contact Tool for Inspection, Monitoring and Analysis of LSI-Chip-Electrical-Defects (Invited)Nikawa, K. / Japan Society of Applied Physics et al. | 2001
- 64
-
31C-3-3 A New Inspection Method for PSM on DUV Inspection Light SourceIsomura, I. / Tsuchiya, H. / Sugihara, S. / Yamashita, K. / Tabata, M. / Japan Society of Applied Physics et al. | 2001
- 64
-
A new inspection method for PSM on DUV inspection light sourceIsomura, I. / Tsuchiya, H. / Sugihara, S. / Yamashita, K. / Tabata, M. et al. | 2001
- 66
-
31C-3-4 Total-Electron-Yield X-Ray Standing-Wave Measurements of Multilayer X-Ray Mirrors for the Interface Structure EvaluationMuramatsu, Y. / Takenaka, H. / Gullikson, E. M. / Perera, R. C. C. / Japan Society of Applied Physics et al. | 2001
- 66
-
Total-electron-yield x-ray standing-wave measurements of multilayer x-ray mirrors for the interface structure evaluationMuramatsu, Y. / Takenaka, L. / Gullikson, E.M. / Perera, R.C.C. et al. | 2001
- 68
-
Low loss optical coat for 157 nm lithographyMatsumoto, A. / Tanaka, A. / Saito, J. / Nagatsuka, J. / Saito, T. / Niisaka, S. / Sone, K. / Otani, M. / Ouchi, C. / Hasegawa, M. et al. | 2001
- 68
-
31C-3-5 Low Loss Optical Coat for 157nm LithographyMatsumoto, A. / Tanaka, A. / Saito, J. / Nagatsuka, J. / Saito, T. / Niisaka, S. / Sone, K. / Otani, M. / Ouchi, C. / Hasegawa, M. et al. | 2001
- 70
-
31C-3-6 Inspection for Critical Issue of Floating Body Effects in SOI-MOSFET Using Nuclear ParticlesAbo, S. / Mizutani, M. / Nakayama, K. / Takaoka, T. / Iwamatsu, T. / Yamaguchi, Y. / Maegawa, S. / Nishimura, T. / Kinomura, A. / Horino, Y. et al. | 2001
- 70
-
Inspection for critical issue of floating body effects in SOI-MOSFET using nuclear particlesAbo, S. / Mizutani, M. / Nakayama, K. / Takaoka, T. / Iwamatsu, T. / Yamaguchi, Y. / Maegawa, S. / Nishimura, T. / Kinomura, A. / Horino, Y. et al. | 2001
- 72
-
1P-4-1 Investing in Nanotechnology (Plenary)Marrian, C. R. K. / Japan Society of Applied Physics et al. | 2001
- 72
-
Investing in nanotechnologyMarrian, C.R.K. et al. | 2001
- 74
-
Nanotechnology toward DNA electronicsKawai, T. et al. | 2001
- 74
-
1P-4-2 Nanotechnology Toward DNA Electronics (Plenary)Kawai, T. / Japan Society of Applied Physics et al. | 2001
- 76
-
1P-4-3 New Prospects for Microelectronics: Carbon Nanotubes (Plenary)Hoenlein, W. / Japan Society of Applied Physics et al. | 2001
- 76
-
New prospects for microelectronics: carbon nanotubesHoenlein, W. et al. | 2001
- 78
-
1A-5-1 Progress and Future Directions of the US EUV Lithography Program (Invited)Attwood, D. / Kubiak, G. / Sweeney, D. / Hector, S. / Gwyn, C. / Japan Society of Applied Physics et al. | 2001
- 78
-
Progress and future directions of the US EUV lithography programAttwood, D. / Kubiak, G. / Sweeney, D. / Hector, S. / Gwyn, C. et al. | 2001
- 80
-
1A-5-2 ASML Program on EUVL: an Update (Invited)Benschop, J. / Harned, N. / Kurz, P. / Japan Society of Applied Physics et al. | 2001
- 80
-
ASML program on EUVL: an updateBenschop, J. / Harned, N. / Kurz, P. et al. | 2001
- 82
-
1A-5-3 Fine Pattern Replication Using ETS-1 Three-Aspherical Mirror Imaging SystemWatanabe, T. / Kinoshita, H. / Hamamoto, K. / Okazaki, S. / Japan Society of Applied Physics et al. | 2001
- 82
-
Fine pattern replication using ETS-1 three-aspherical mirror imaging systemWatanabe, T. / Kinoshita, H. / Hamamoto, K. / Okazaki, S. et al. | 2001
- 84
-
1A-5-4 Analysis of Multilayer Structure for Reflection of Extreme Ultra-Violet WavelengthLee, S. Y. / Hur, S. M. / Kim, H. J. / Lee, D. H. / Lee, Y. T. / Kang, I. Y. / Chung, Y.-C. / Yi, M. / Bok, C. K. / Ahn, J. et al. | 2001
- 84
-
Analysis of multilayer structure for reflection of extreme ultra-violet wavelengthSeung Yoon Lee, / Sung Min Hur, / Hyung Joon Kim, / Dong Hyun Lee, / Young Tae Lee, / In Yong Kang, / Yong-Chae Chung, / Moonsuk Yi, / Cheol Kyu Bok, / Jinho Ahn, et al. | 2001
- 86
-
1A-5-5 Simulation of Light Scatter from Defects in EUV Mask BlanksIto, M. / Ogawa, T. / Nishiyama, I. / Okazaki, S. / Japan Society of Applied Physics et al. | 2001
- 86
-
Simulation of light scatter from defects in EUV mask blanksIto, M. / Ogawa, T. / Nishiyama, I. / Okazaki, S. et al. | 2001
- 88
-
"Actinic-only" defects in EUVL mask blanks-native defects, barely detectable by visible-light inspectionMoonsuk Yi, / Haga, T. / Walton, C. / Bokor, J. et al. | 2001
- 88
-
1A-5-6 "Actinic-Only" Defects in EUVL Mask Blanks - Native Defects, Barely Detectable by Visible-Light Inspection -Yi, M. / Haga, T. / Walton, C. / Bokor, J. / Japan Society of Applied Physics et al. | 2001
- 90
-
Mo/Si multilayers with different barrier layers for applications as EUV mirrorsBraun, S. / Mai, H. / Moss, M. / Scholz, R. et al. | 2001
- 90
-
1A-5-7 Mo/Si Multilayers with Different Barrier Layers for Applications as EUV MirrorsBraun, S. / Mai, H. / Moss, M. / Scholz, R. / Japan Society of Applied Physics et al. | 2001
- 92
-
Dynamics of mass-limited laser plasma targets as sources for EUVLRichardson, M. / Keyser, C. / Al-Rabban, M. / Bernath, R. et al. | 2001
- 92
-
1A-5-8 Dynamics of Mass-Limited Laser Plasma Targets as Sources for EUVLRichardson, M. / Keyser, C. / Al-Rabban, M. / Bernath, R. / Japan Society of Applied Physics et al. | 2001
- 94
-
Imprint lithography for mass productionHeidari, B. / Bogdanov, A. / Keil, M. / Montelius, L. et al. | 2001
- 94
-
1B-5-1 Imprint Lithography for Mass Production (Invited)Heidari, B. / Bogdanov, A. / Keil, M. / Montelius, L. / Japan Society of Applied Physics et al. | 2001
- 96
-
Easy removal of mold for imprint lithography by ion beam modification of photoresist surfaceBaba, A. / Iwamoto, M. / Tsubaki, K. / Asano, T. et al. | 2001
- 96
-
1B-5-2 Easy Removal of Mold for Imprint Lithography by Ion Beam Modification of Photoresist SurfaceBaba, A. / Iwamoto, M. / Tsubaki, K. / Asano, T. / Japan Society of Applied Physics et al. | 2001
- 98
-
1B-5-3 Influence of Thermal Properties of Polymers on NanoImprint Lithography PerformancePerret, C. / Gourgon, C. / Micouin, G. / Grolier, J. P. E. / Japan Society of Applied Physics et al. | 2001
- 98
-
Influence of thermal properties of polymers on NanoImprint Lithography performancePerret, C. / Gourgon, C. / Micouin, G. / Grolier, J.P.E. et al. | 2001
- 100
-
Evaluation of press-uniformity using a pressure sensitive film and calculation of wafer distortions caused by mold press in imprint lithographyDeguchi, K. / Takeuchi, N. / Shimizu, A. et al. | 2001
- 100
-
1B-5-4 Evaluation of Press-Uniformity Using a Pressure Sensitive Film and Calculation of Wafer Distortions Caused by Mold Press in Imprint LithographyDeguchi, K. / Takeuchi, N. / Shimizu, A. / Japan Society of Applied Physics et al. | 2001
- 102
-
1B-5-5 Uniformity in Patterns Imprinted Using Photo-Curable Liquid PolymerHiroshima, H. / Komuro, M. / Inoue, S. / Kasahara, N. / Taniguchi, J. / Miyamoto, I. / Japan Society of Applied Physics et al. | 2001
- 102
-
Uniformity in patterns imprinted using photo-curable liquid polymerHiroshima, H. / Komuro, M. / Inoue, S. / Kasahara, N. / Taniguchi, J. / Miyamoto, I. et al. | 2001
- 104
-
1B-5-6 Nano Chamber Fabrication on an Acrylic Plate by Direct Nano Imprint Lithography Using Quartz MoldHirai, Y. / Takagi, N. / Toyota, H. / Harada, S. / Yotsuya, T. / Tanaka, Y. / Japan Society of Applied Physics et al. | 2001
- 104
-
Nano chamber fabrication on an acrylic plate by direct nano imprint lithography using quartz moldHirai, Y. / Takagi, N. / Toyota, H. / Harada, S. / Yotsuya, T. / Tanaka, Y. et al. | 2001
- 106
-
Room temperature nanoimprint technologyIgaku, Y. / Matsui, S. / Ishigaki, H. / Fujita, J. / Ishida, M. / Ochiai, Y. / Komuro, M. / Hiroshima, H. / Namatsu, H. et al. | 2001
- 106
-
1B-5-7 Room Temperature Nanoimprint TechnologyIgaku, Y. / Matsui, S. / Ishigaki, H. / Fujita, J. / Ishida, M. / Ochiai, Y. / Komuro, M. / Hiroshima, H. / Namatsu, H. / Japan Society of Applied Physics et al. | 2001
- 108
-
Precise control of Si[001] initial oxidation by translational kinetic energy of O/sub 2/ moleculesTeraoka, Y. / Yoshigoe, A. et al. | 2001
- 108
-
1C-5-1 Precise Control of Si(001) Initial Oxidation by Translational Kinetic Energy of O~2 Molecules (Invited)Teraoka, Y. / Yoshigoe, A. / Japan Society of Applied Physics et al. | 2001
- 110
-
1C-5-2 Oxygen Pressure Dependence of the Initial Oxidation on Si(001) Surface Studied by AES Combined with RHEEDTakakuwa, Y. / Ishida, F. / Kawawa, T. / Japan Society of Applied Physics et al. | 2001
- 110
-
Oxygen pressure dependence of the initial oxidation on Si[001] surface studied by AES combined withTakakuwa, Y. / Ishida, F. / Kawawa, T. et al. | 2001
- 112
-
1C-5-3 Characteristics of Hard DLC Film Formed by Gas Cluster Ion Beam Assisted DepositionKanda, K. / Kitagawa, T. / Shimizugawa, Y. / Haruyama, Y. / Matsui, S. / Terasawa, M. / Tsubakino, H. / Yamada, I. / Gejo, T. / Kamata, M. et al. | 2001
- 112
-
Characteristics of hard DLC film formed by gas cluster ion beam assisted depositionKanda, K. / Kitagawa, T. / Shimizugawa, Y. / Haruyama, Y. / Matsui, S. / Terasawa, M. / Tsubakino, H. / Yamada, I. / Gejo, T. / Kamata, M. et al. | 2001
- 114
-
1C-5-4 Optical Film Formation with O~2 Cluster Ion Assisted DepositionShirai, K. / Fujiwara, Y. / Takahashi, R. / Toyoda, N. / Matsui, S. / Mitamura, T. / Terasawa, M. / Tsubakino, H. / Yamada, I. / Japan Society of Applied Physics et al. | 2001
- 114
-
Optical film formation with O/sub 2/ cluster ion assisted depositionShirai, K. / Fujiwara, Y. / Takahashi, R. / Toyoda, N. / Matsui, S. / Mitamura, T. / Terasawa, M. / Tsubakino, H. / Yamada, I. et al. | 2001
- 116
-
1C-5-5 Synthesis of Microcrystalline Silicon Thin Films Using a Low-Pressure Microwave PlasmaKikukawa, D. / Honma, K. / Hori, M. / Goto, T. / Japan Society of Applied Physics et al. | 2001
- 116
-
Synthesis of microcrystalline silicon thin films using a low-pressure microwave plasmaKikukawa, D. / Honma, K. / Hori, M. / Goto, T. et al. | 2001
- 118
-
Internal modification of transparent materials using micro and nanotechnologyHirao, K. et al. | 2001
- 118
-
1C-5-6 Internal Modification of Transparent Materials Using Micro and Nanotechnonlogy (Invited)Hirao, K. / Japan Society of Applied Physics et al. | 2001
- 120
-
1C-5-7 Suppress Copper Diffusion through Barrier Metal-Free Structure by Using Ion Implantation into Low-K MaterialDeng, I.-C. / Japan Society of Applied Physics et al. | 2001
- 120
-
Suppress copper diffusion through barrier metal-free structure by using ion implantation into low-k materialI-Chung Deng, et al. | 2001
- 122
-
Hydrogen diffusion into the bulk at nearly ideal H-terminated region on Si[100] surfacesZhi-Hong Wang, / Noda, H. / Nonogaki, Y. / Urisu, T. et al. | 2001
- 122
-
1C-5-8 Hydrogen Diffusion into the Bulk at Nearly Ideal H-Terminated Region on Si (100) SurfacesWang, Z.-H. / Noda, H. / Nonogaki, Y. / Urisu, T. / Japan Society of Applied Physics et al. | 2001
- 124
-
1D-6-1 Thermal Distortion Model of Mask for Extreme Ultraviolet Lithography during Periodic Scanning ExposureChiba, A. / Ota, K. / Ogawa, T. / Okazaki, S. / Japan Society of Applied Physics et al. | 2001
- 124
-
Thermal distortion model of mask for extreme ultraviolet lithography during periodic scanning exposureChiba, A. / Ota, K. / Ogawa, T. / Okazaki, S. et al. | 2001
- 126
-
Rigorous simulation of line-defects in EUV masksSchiavone, P. / Payerne, R. et al. | 2001
- 126
-
1D-6-2 Rigorous Simulation of Line-Defects in EUV MasksSchiavone, P. / Payerne, R. / Japan Society of Applied Physics et al. | 2001
- 128
-
Thin layer resist imaging for EUVLRyoo, M. / Shirayone, S. / Yano, E. / Okazaki, S. et al. | 2001
- 128
-
1D-6-3 Thin Layer Resist Imaging for EUVLRyoo, M. / Shirayone, S. / Yano, E. / Okazaki, S. / Japan Society of Applied Physics et al. | 2001
- 130
-
Damage resistant and low stress EUV multilayer mirrorsFeigl, T. / Yulin, S. / Kuhlmann, T. / Kaiser, N. et al. | 2001
- 130
-
1D-6-4 Damage Resistant and Low Stress EUV Multilayer MirrorsFeigl, T. / Yulin, S. / Kuhlmann, T. / Kaiser, N. / Japan Society of Applied Physics et al. | 2001
- 132
-
1D-6-5 Low Dielectric Constant SILK Films as Bottom Antireflective Coating Layers for both KrF and ArF LithographyChen, H. L. / Ko, F. H. / Chu, T. C. / Cheng, H. C. / Huang, T. Y. / Japan Society of Applied Physics et al. | 2001
- 132
-
Low dielectric constant SILK films as bottom antireflective coating layers for both KrF and ArF lithographyChen, H.L. / Ko, F.H. / Chu, T.C. / Cheng, H.C. / Huang, T.Y. et al. | 2001
- 134
-
Characteristics of the line width variation due to lens flare and its dependency on optical parametersTae Moon Jeong, / Sung-Woon Choi, / Woo-Sung Han, / In-Kyun Shin, / Dong-Hoon Chung, / Sung-Hyuck Kim, / Hyoung-Do Kim, / Jung-Min Sohn, et al. | 2001
- 134
-
1D-6-6 Characteristics of the Line Width Variation Due to Lens Flare and Its Dependency on Optical ParametersJeong, T. M. / Choi, S.-W. / Han, W.-S. / Shin, I.-K. / Chung, D.-H. / Kim, S.-H. / Kim, H.-D. / Sohn, J.-M. / Japan Society of Applied Physics et al. | 2001
- 136
-
1D-6-7 Top Antireflective Coating Process for 193nm LithographyTakano, Y. / Ijima, K. / Akiyama, Y. / Takaka, H. / Ho, B.-C. / Chen, H. / Japan Society of Applied Physics et al. | 2001
- 136
-
Top antireflective coating process for 193 nm lithographyTakano, Y. / Ijima, K. / Akiyama, Y. / Takaka, H. / Bang-Chein Ho, / Chen, H. et al. | 2001
- 138
-
Approaching to chemical stability of embedded material for attenuated phase-shifting mask and application of high transmittance AttPSM for sub-0.1 /spl mu/m contact hole pattern in 193 nm lithographyCheng-Ming Lin, et al. | 2001
- 138
-
1D-6-64 (31A-2-4) Approaching to Chemical Stability of Embedded Material for Attenuated Phase-Shifting Mask and Application of High Transmittance AttPSM for Sub-0.1 mum Contact Hole Pattern in 193 nm LithographyLin, C.-M. / Japan Society of Applied Physics et al. | 2001
- 140
-
1D-6-8 Image Formation by Continuous Writing with Multi-Beam in X-Ray NanolithographyToyota, E. / Washio, M. / Japan Society of Applied Physics et al. | 2001
- 140
-
Image formation by continuous writing with multi-beam in X-ray nanolithographyToyota, E. / Washio, M. et al. | 2001
- 142
-
1D-6-9 Monte Carlo Simulation of Substrate Photoelectrons in Hard X-Ray Lithography and the Effect of Buffer LayerPark, I. / Kim, O. / Japan Society of Applied Physics et al. | 2001
- 142
-
Monte Carlo simulation of substrate photoelectrons in hard X-ray lithography and the effect of buffer layerInsoo Park, / Ohyun Kim, et al. | 2001
- 144
-
Combination of SiDWEL process and conventional electron sensitive resists in a complementary technique for the fabrication of X-ray masksLavallee, E. / Beauvais, J. / Drouin, D. / Pan Yang, / Turcotte, D. et al. | 2001
- 144
-
1D-6-10 Combination of SiDWEL Process and Conventional Electron Sensitive Resists in a Complementary Technique for the Fabrication of X-Ray MasksLavallee, E. / Beauvais, J. / Drouin, D. / Yang, P. / Turcotte, D. / Japan Society of Applied Physics et al. | 2001
- 146
-
Particle contamination control technology in electron beam mask writing system for next-generation mask fabricationAkeno, K. / Ogasawara, M. / Tojo, T. / Hirano, R. / Yoshitake, S. / Ooki, K. / Toriumi, M. / Sekine, A. / Takikawa, T. / Shinoda, T. et al. | 2001
- 146
-
1D-6-11 Particle Contamination Control Technology in Electron Beam Mask Writing System for Next-Generation Mask FabricationAkeno, K. / Ogasawara, M. / Tojo, T. / Hirano, R. / Yoshitake, S. / Ooki, K. / Toriumi, M. / Sekine, A. / Takikawa, T. / Shinoda, T. et al. | 2001
- 148
-
1D-6-12 Ion Beam Lithography Using Membrane MasksKim, Y. S. / Hong, W. / Woo, H. J. / Choi, H. W. / Kim, K. D. / Lee, S. / Japan Society of Applied Physics et al. | 2001
- 148
-
Ion beam lithography using membrane masksKim, Y.S. / Hong, W. / Woo, H.J. / Choi, H.W. / Kim, K.D. / Lee, S. et al. | 2001
- 150
-
1D-6-13 Analysis of Electron Transmission Properties from EPL Membrane MasksSeko, C. / Hayashi, H. / Ono, K. / Iriye, Y. / Nomura, E. / Japan Society of Applied Physics et al. | 2001
- 150
-
Analysis of electron transmission properties from EPL membrane masksSeko, C. / Hayashi, H. / Ono, K. / Iriye, Y. / Nomura, E. et al. | 2001
- 152
-
1D-6-53L Line Edge Roughness of Developed Resist at Low Dose Electron Beam ExposureYamada, T. / Kotera, M. / Japan Society of Applied Physics et al. | 2001
- 152
-
Line edge roughness of developed resist at low dose electron beam exposureYamada, T. / Kotera, M. et al. | 2001
- 154
-
Mask charging phenomena during electron beam exposure in the EPL systemIshida, Y. / Kotera, M. et al. | 2001
- 154
-
1D-6-54L Mask Charging Phenomena during Electron Beam Exposure in the EPL SystemIshida, Y. / Kotera, M. / Japan Society of Applied Physics et al. | 2001
- 156
-
1D-6-63 (31A-3-4) EPL Proximity and Coulomb Effect Correction by Mask Bias MethodKobinata, H. / Yamada, Y. / Tamura, T. / Fujii, K. / Shinbo, O. / Nozue, H. / Japan Society of Applied Physics et al. | 2001
- 156
-
EPL proximity and Coulomb effect correction by mask bias methodKobinata, H. / Yamada, Y. / Tamura, T. / Fujii, K. / Shinbo, O. / Nozue, H. et al. | 2001
- 158
-
1D-6-14 X-Ray Absorption Fine Structure Measurement Using a Scanning Capacitance Microscope: Trial for Selective Observation of Trap Centers in the ∼nm RegionIshii, M. / Japan Society of Applied Physics et al. | 2001
- 158
-
X-ray absorption fine structure measurement using a scanning capacitance microscope: trial for selective observation of trap centers in the /spl sim/nm regionIshii, M. et al. | 2001
- 160
-
Spin-polarized scanning tunneling microscopy using optically pumped GaAs tipsMiura, T. / Yamaguchi, K. et al. | 2001
- 160
-
1D-6-15 Spin-Polarized Scanning Tunneling Microscopy Using Optically Pumped GaAs TipsMiura, T. / Yamaguchi, K. / Japan Society of Applied Physics et al. | 2001
- 162
-
1D-6-16 Dot-Array Resist Patterning Using Scanning Probe Microscopy with a Hybrid Current-Voltage Control MethodIshibashi, M. / Heike, S. / Hashizume, T. / Japan Society of Applied Physics et al. | 2001
- 162
-
Dot-array resist patterning using scanning probe microscopy with a hybrid current-voltage control methodIshibashi, M. / Heike, S. / Hashizume, T. et al. | 2001
- 164
-
Nanostructure construction in single-walled carbon nanotubes by AFM manipulationShiokawa, T. / Tsukagoshi, K. / Ishibashi, K. / Aoyagi, Y. et al. | 2001
- 164
-
1D-6-17 Nanostructure Construction in Single-Walled Carbon Nanotubes by AFM ManipulationShiokawa, T. / Tsukagoshi, K. / Ishibashi, K. / Aoyagi, Y. / Japan Society of Applied Physics et al. | 2001
- 166
-
1D-6-18 Formation of Aluminum Nano-Dot Array by the Use of Nano-Indentation and Anodic OxidationMurakami, Y. / Shingubara, S. / Sakaue, H. / Takahagi, T. / Japan Society of Applied Physics et al. | 2001
- 166
-
Formation of aluminum nano-dot array by the use of nano-indentation and anodic oxidationMurakami, Y. / Shingubara, S. / Sakaue, H. / Takahagi, T. et al. | 2001
- 168
-
Direct patterning on low dielectric constant materials with electron beam lithographyBen-Chang Chen, / Yee-Kai Lai, / Fu-Hsiang Ko, / Cheng-Tung Chou, / Hsuen-Li Chen, et al. | 2001
- 168
-
1D-6-19 Direct Patterning on Low Dielectric Constant Materials with Electron Beam LithographyChen, B.-C. / Lai, Y.-K. / Ko, F.-H. / Chou, C.-T. / Chen, H.-L. / Japan Society of Applied Physics et al. | 2001
- 170
-
1D-6-20 Electrical and Geometrical Properties of a Si Quantum Nanowire Device Fabricated by an Inorganic EB Resist ProcessTsutsumi, T. / Ishii, K. / Hiroshima, H. / Kanemaru, S. / Suzuki, E. / Tomizawa, K. / Japan Society of Applied Physics et al. | 2001
- 170
-
Electrical and geometrical properties of a Si quantum nanowire device fabricated by an inorganic EB resist processTsutsumi, T. / Ishii, K. / Hiroshima, H. / Kanemaru, S. / Suzuki, E. / Tomizawa, K. et al. | 2001
- 172
-
1D-6-21 Fabrication of Planar-Type Ferromagnet/Nonmagnet/Ferromagnet Structures by Using Multi-Angle DepositionKimura, T. / Itagaki, Y. / Wakaya, F. / Gamo, K. / Japan Society of Applied Physics et al. | 2001
- 172
-
Fabrication of planar-type ferromagnet/nonmagnet/ferromagnet structures by using multi-angle depositionKimura, T. / Itagaki, Y. / Wakaya, F. / Gamo, K. et al. | 2001
- 174
-
Micro-structuring of SiO/sub 2/ thin film on Si by a femto-second laser pulseKawamura, K. / Ito, N. / Hirano, M. / Hosono, H. et al. | 2001
- 174
-
1D-6-22 Micro-Structuring of SiO~2 Thin Film on Si by a Femto-Second Laser PulseKawamura, K. / Ito, N. / Hirano, M. / Hosono, H. / Japan Society of Applied Physics et al. | 2001
- 176
-
1D-6-23 Patterned Deposition of Metallic Nanoclusters from Solution Using Synchrotron RadiationDivan, R. / Mancini, D. C. / Moldovan, N. / Assoufid, L. / Chu, Y. / Ma, Q. / Rosenberg, R. A. / Japan Society of Applied Physics et al. | 2001
- 176
-
Patterned deposition of metallic nanoclusters from solution using synchrotron radiationDivan, R. / Mancini, D.C. / Moldovan, N. / Assoufid, L. / Chu, Y. / Ma, Q. / Rosenberg, R.A. et al. | 2001
- 178
-
1D-6-24 Influence of Substrate Roughness on the Formation of Self-Assembled Monolayers (SAM) on Silicon (100)More, S. / Graaf, H. / Nonogaki, Y. / Urisu, T. / Japan Society of Applied Physics et al. | 2001
- 178
-
Influence of substrate roughness on the formation of self-assembled monolayers (SAM) on Silicon [100]More, S. / Graaf, H. / Nonogaki, Y. / Urisu, T. et al. | 2001
- 180
-
1D-6-25 Effects of Doping Methods on Characteristics of InAs Quantum DotsPark, Y. M. / Park, Y. J. / Kim, K. M. / Shin, J. / Kim, E. K. / Son, M. / Hwang, S. W. / Yoo, K.-H. / Japan Society of Applied Physics et al. | 2001
- 180
-
Effects of doping methods on characteristics of InAs quantum dotsYoung Min Park, / Young Ju Park, / Kwang Moo Kim, / JaeCheol Shin, / Eun Kyu Kim, / MaengHo Son, / Sung Woo Hwang, / Keon-Ho Yoo, et al. | 2001
- 182
-
Nano-imprint lithography using replicated mold by Ni electro platingHirai, Y. / Harada, S. / Isaka, S. / Kobayashi, M. / Tanaka, Y. et al. | 2001
- 182
-
1D-6-26 Nano-Imprint Lithography Using Replicated Mold by Ni Electro PlatingHirai, Y. / Harada, S. / Isaka, S. / Kobayashi, M. / Tanaka, Y. / Japan Society of Applied Physics et al. | 2001
- 184
-
Prototyping of field emitter array using focused ion and electron beamsJarupoonphol, W. / Ochiai, C. / Takai, M. / Hosono, A. / Okuda, S. et al. | 2001
- 184
-
1D-6-55L Prototyping of Field Emitter Array Using Focused Ion and Electron BeamsJarupoonphol, W. / Ochiai, C. / Takai, M. / Hosono, A. / Okuda, S. / Japan Society of Applied Physics et al. | 2001
- 186
-
Ultra fine multi-line patterning based on sidewall patterning techniqueKyung-Hoon Chung, / Suk-Kang Sung, / Jong Duk Lee, / Byung-Gook Park, et al. | 2001
- 186
-
1D-6-56L Ultra Fine Multi-Line Patterning Based on Sidewall Patterning TechniqueChung, K.-H. / Sung, S.-K. / Lee, J. D. / Park, B.-G. / Japan Society of Applied Physics et al. | 2001
- 188
-
1D-6-57L Improvement of Imprinted Pattern Uniformity Using Sapphire MoldTokano, Y. / Taniguchi, J. / Kawasaki, T. / Miyamoto, I. / Komuro, M. / Hiroshima, H. / Sakai, N. / Tada, K. / Japan Society of Applied Physics et al. | 2001
- 188
-
Improvement of imprinted pattern uniformity using sapphire moldTokano, Y. / Taniguchi, J. / Kawasaki, T. / Miyamoto, I. / Komuro, M. / Hiroshima, H. / Sakai, N. / Tada, K. et al. | 2001
- 190
-
1D-6-27 Development of Bio-MEMS Devices for Single Cell Expression AnalysisIchiki, T. / Hara, T. / Ujiie, T. / Horiike, Y. / Yasuda, K. / Japan Society of Applied Physics et al. | 2001
- 190
-
Development of bio-MEMS devices for single cell expression analysisIchiki, T. / Hara, T. / Ujiie, T. / Horiike, Y. / Yasuda, K. et al. | 2001
- 192
-
A polymeric capillary electrophoretic microfluidic device for separation and electrospray ionization of small moleculesKameoka, J. / Hongwei Zhong, / Henion, J. / Mawhinney, D.B. / Craighead, H.G. et al. | 2001
- 192
-
1D-6-28 A Polymeric Capillary Electrophoretic Microfluidic Device for Separation and Electrospray Ionization of Small MoleculesKameoka, J. / Zhong, H. / Henion, J. / Mawhinney, D. B. / Craighead, H. G. / Japan Society of Applied Physics et al. | 2001
- 194
-
DNA melting analysis on a microchip after PCR amplificationSlyadnev, M.N. / Sato, K. / Tokeshi, M. / Kitamori, T. et al. | 2001
- 194
-
1D-6-29 DNA Melting Analysis on a Microchip after PCR AmplificationSlyadnev, M. N. / Sato, K. / Tokeshi, M. / Kitamori, T. / Japan Society of Applied Physics et al. | 2001
- 196
-
1D-6-30 Field Emission from Nano-Protrusion Fabricated Using Nano-Stamp TechniqueBaba, A. / Tsubaki, K. / Asano, T. / Japan Society of Applied Physics et al. | 2001
- 196
-
Field emission from nano-protrusion fabricated using nano-stamp techniqueBaba, A. / Tsubaki, K. / Asano, T. et al. | 2001
- 198
-
1D-6-31 Subwavelength Antireflection Gratings for Light Emitting Diodes and Photodiodes Fabricated by Fast Atom Beam EtchingIshimori, M. / Kanamori, Y. / Sasaki, M. / Hane, K. / Japan Society of Applied Physics et al. | 2001
- 198
-
Subwavelength antireflection gratings for light emitting diodes and photodiodes fabricated by fast atom beam etchingIshimori, M. / Kanamori, Y. / Sasaki, M. / Hane, K. et al. | 2001
- 200
-
Preparation of thick PZT film by electrostatic spray deposition (ESD) for the application in micro-system technologyJian Lu, / Jiaru Chu, / Wenhao Huang, / Zhimin Ping, et al. | 2001
- 200
-
1D-6-32 Preparation of Thick PZT Film by Electrostatic Spray Deposition (ESD) for the Application in Micro-System TechnologyLu, J. / Chu, J. / Huang, W. / Ping, Z. / Japan Society of Applied Physics et al. | 2001
- 202
-
1D-6-33 Fabrication of Thick Silicon Dioxide Air-Bridge for RF Application Using Micromachining TechnologyPark, J.-Y. / Sim, J.-H. / Shin, J.-K. / Lee, J.-H. / Japan Society of Applied Physics et al. | 2001
- 202
-
Fabrication of thick silicon dioxide air-bridge for RF application using micromachining technologyJeong-Yong Park, / Jun-Hwan Sim, / Jang-Kyoo Shin, / Jong-Hyun Lee, et al. | 2001
- 204
-
1D-6-34 Design and Fabrication of 1D and 2D Micro Scanners Actuated by Double Layered PZT Bimorph BeamsTsaur, J. / Zhang, L. / Maeda, R. / Matsumoto, S. / Khumpuang, S. / Wan, J. / Japan Society of Applied Physics et al. | 2001
- 204
-
Design and fabrication of 1D and 2D micro scanners actuated by double layered PZT bimorph beamsJiunnjye Tsaur, / Lulu Zhang, / Maeda, R. / Matsumoto, S. / Sommawan Khumpuang, / Jiangwen Wan, et al. | 2001
- 206
-
1D-6-35 Characteristics of Optical Thin Film Filters Containing Nanometer-Size ParticlesNonaka, S. / Suda, T. / Oda, H. / Japan Society of Applied Physics et al. | 2001
- 206
-
Characteristics of optical thin film filters containing nanometer-size particlesNonaka, S. / Suda, T. / Oda, H. et al. | 2001
- 208
-
1D-6-37 Etching of Spin-on-Glass Films by Synchrotron RadiationTaniguchi, J. / Kanda, K. / Matsui, S. / Tokunaga, M. / Miyamoto, I. / Japan Society of Applied Physics et al. | 2001
- 208
-
Etching of spin-on-glass films by synchrotron radiationTaniguchi, J. / Kanda, K. / Matsui, S. / Tokunaga, M. / Miyamoto, I. et al. | 2001
- 210
-
Effects of LDD spacer etches on spacer widths, subsequent oxide growths and yield enhancementKyeonglan Rho, et al. | 2001
- 210
-
1D-6-38 Effects of LDD Spacer Etches on Spacer Widths, Subsequent Oxide Growths and Yield EnhancementRho, K. / Japan Society of Applied Physics et al. | 2001
- 212
-
1D-6-39 Comparison of Pt Etching Characteristics with SF~6 and Cl~2 Plasma ChemistriesKim, S. H. / Ju, S.-Y. / Ahn, J. / Japan Society of Applied Physics et al. | 2001
- 212
-
Comparison of Pt etching characteristics with SF/sub 6/ and Cl/sub 2/ plasma chemistriesSang Hoon Kim, / Sup-Youl Ju, / Jinho Ahn, et al. | 2001
- 214
-
1D-6-40 Holographic Grating Formation by Wet Etching in Amorphous As~4~0Ge~1~0Se~1~5S~3~0 Thin FilmPark, J.-H. / Park, J.-I. / Chung, H.-B. / Japan Society of Applied Physics et al. | 2001
- 214
-
Holographic grating formation by wet etching in amorphous As/sub 40/Ge/sub 10/Se/sub 15/S/sub 30/ thin filmJong-Hwa Park, / Jeong-Il Park, / Hong-Bay Chung, et al. | 2001
- 216
-
1D-6-41 The Surface Treatment with NH~3 for GaN Grown by a Sublimation TechniqueLee, H. / Lee, S. / Min, S.-K. / Lyou, J. / Park, S.-J. / Japan Society of Applied Physics et al. | 2001
- 216
-
The surface treatment with NH/sub 3/ for GaN grown by a sublimation techniqueHyunjae Lee, / Seogwoo Lee, / Suk-Ki Min, / Jonghun Lyou, / Seong-Ju Park, et al. | 2001
- 218
-
High-brightness semiconductor lasers fabricated with improved dry-etching technology for ultra-smooth laser facetsDeichsel, E. / Unger, P. et al. | 2001
- 218
-
1D-6-43 High-Brightness Semiconductor Lasers Fabricated with Improved Dry-Etching Technology for Ultra-Smooth Laser FacetsDeichsel, E. / Unger, P. / Japan Society of Applied Physics et al. | 2001
- 220
-
Improved crystalline quality of GaN by substrate ion beam pre-treatmentDongjin Byun, / Yong Suk Cho, / Jaekyun Kim, / Young Ju Park, / Eun Kyu Kim, / Gyeungho Kim, / Eui-kwan Koh, / Suk-Ki Min, et al. | 2001
- 220
-
1D-6-44 Improved Crystalline Quality of GaN by Substrate Ion Beam Pre-TreatmentByun, D. / Cho, Y. S. / Kim, J. / Park, Y. J. / Kim, E. K. / Kim, G. / Koh, E.-K. / Min, S.-K. / Japan Society of Applied Physics et al. | 2001
- 222
-
1D-6-45 Implantation of N-Ion on Sapphire Substrate for GaN EpilayerPark, Y. J. / Cho, Y. S. / Koh, E. K. / Kim, E. K. / Kim, G. / Byun, D. / Min, S.-K. / Japan Society of Applied Physics et al. | 2001
- 222
-
Implantation of N-ion on sapphire substrate for GaN epilayerYoung Ju Park, / Yong Suk Cho, / Eui Kwan Koh, / Eun Kyu Kim, / Gyeungho Kim, / Dongjin Byun, / Suk-Ki Min, et al. | 2001
- 224
-
Thin polymer films deposition on different substrates by electron beam polymerization of monomers from vapour phaseBruk, M. / Kalnov, V. / Spirin, A. / Zhikharev, E. et al. | 2001
- 224
-
1D-6-58L Thin Polymer Films Deposition on Different Substrates by Electron Beam Polymerization of Monomers from Vapour PhaseBruk, M. / Kalnov, V. / Spirin, A. / Zhikharev, F. / Japan Society of Applied Physics et al. | 2001
- 226
-
Simulation parameter effects on critical dimension and sensitivity of 193 nm Chemically Amplified ResistSang-Kon Kim, / Dong-Soo Sohn, / Eun-Jung Seo, / Jin-Young Kim, / Young-Soo Sohn, / Hye-Keun Oh, et al. | 2001
- 226
-
1D-6-46 Simulation Parameter Effects on Critical Dimension and Sensitivity of 193 nm Chemically Amplified ResistKim, S.-K. / Sohn, D.-S. / Seo, E.-J. / Kim, J.-Y. / Sohn, Y.-S. / Oh, H.-K. / Japan Society of Applied Physics et al. | 2001
- 228
-
Thermal flow and chemical shrink techniques for sub-100 nm contact hole fabrication in electron beam lithographyChen, H.L. / Ko, F.H. / Li, L.S. / Hsu, C.K. / Chen, B.C. / Chu, T.C. / Huang, T.Y. et al. | 2001
- 228
-
1D-6-47 Thermal Flow and Chemical Shrink Techniques for Sub-100 nm Contact Hole Fabrication in Electron Beam LithographyChen, H. L. / Ko, F. H. / Li, L. S. / Hsu, C. K. / Chen, B. C. / Chu, T. C. / Huang, T. Y. / Japan Society of Applied Physics et al. | 2001
- 230
-
1D-6-48 Reduction Substrate Alkaline Contamination by Utilizing Multi-Layer Bottom Antireflective Coating Structures in ArF LithographyChen, H. L. / Shih, M. C. / Hsieh, C. F. / Chen, B. C. / Ko, F. H. / Japan Society of Applied Physics et al. | 2001
- 230
-
Reduction of substrate alkaline contamination by utilizing multi-layer bottom antireflective coating structures in ArF lithographyChen, H.L. / Shih, M.C. / Hsieh, C.F. / Chen, B.C. / Ko, F.H. et al. | 2001
- 232
-
1D-6-59L Study on Radiation-Induced Reaction in Microscopic Region for Basic Understanding of Electron Beam Patterning in Lithographic Process (I)-Development of Subpicosecond Pulse Radiolysis and Relation between Space Resolution and Radiation - Induced Reaction of Onium Salt -Kozawa, T. / Saeki, A. / Yoshida, Y. / Tagawa, S. / Japan Society of Applied Physics et al. | 2001
- 232
-
Study on radiation-induced reaction in microscopic region for basic understanding of electron beam patterning in lithographic process (I) - Development of subpicosecond pulse radiolysis and relation between space resolution and radiation-induced reactions of onium saltKozawa, T. / Saeki, A. / Yoshida, Y. / Tagawa, S. et al. | 2001
- 234
-
1D-6-60L Study on Radiation-Induced Reaction in Microscopic Region for Basic Understanding of Electron Beam Patterning in Lithographic Process (II) - Relation between Resist Space Resolution and Space Distribution of Ionic Species -Saeki, A. / Kozawa, T. / Yoshida, Y. / Tagawa, S. / Japan Society of Applied Physics et al. | 2001
- 234
-
Study on radiation-induced reaction in microscopic region for basic understanding of electron beam patterning in lithographic process (II) relation between resist space resolution and space distribution of ionic speciesSaeki, A. / Kozawa, T. / Yoshida, Y. / Tagawa, S. et al. | 2001
- 236
-
Studies on the possibility of highly sensitive PMMA based chemically amplified resists for EB and X-ray lithographyNakano, A. / Okamoto, K. / Kozawa, T. / Tagawa, S. et al. | 2001
- 236
-
1D-6-61L Studies on the Possibility of Highly Sensitive PMMA Based Chemically Amplified Resists for EB and X-Ray LithographyNakano, A. / Okamoto, K. / Kozawa, T. / Tagawa, S. / Japan Society of Applied Physics et al. | 2001
- 238
-
1D-6-49 Design and Performance of a Normal-Incidence Optical Critical Dimension Metrology SystemMcGahan, W. A. / Holden, J. M. / Rovira, P. I. / Lowe-Webb, R. / Yarussi, R. A. / Zhuang, V. / Coates, V. J. / Japan Society of Applied Physics et al. | 2001
- 238
-
Design and performance of a normal-incidence optical critical dimension metrology systemMcGahan, W.A. / Holden, J.M. / Rovira, P.I. / Lowe-Webb, R. / Yarussi, R.A. / Zhuang, V. / Coates, V.J. et al. | 2001
- 240
-
Thickness measurements of ultra-thin films using AFMTae Hun Kim, / Hyuck In Kwon, / Jong Duk Lee, / Byung-Gook Park, et al. | 2001
- 240
-
1D-6-50 Thickness Measurements of Ultra-Thin Films Using AFMKim, T. H. / Kwon, H. I. / Lee, J. D. / Park, B.-G. / Japan Society of Applied Physics et al. | 2001
- 242
-
A Monte Carlo study of magnetic domain images in a spin-polarized scanning electron microscopeYasuda, M. / Katsuse, R. / Kawata, H. / Murata, K. et al. | 2001
- 242
-
1D-6-51 A Monte Carlo Study of Magnetic Domain Images in a Spin-Polarized Scanning Electron MicroscopeYasuda, M. / Katsuse, R. / Kawata, H. / Murata, K. / Japan Society of Applied Physics et al. | 2001
- 244
-
1D-6-52 New AFM Imaging for High Aspect Structures: STI and Contact HolesMorimoto, T. / Kuroda, H. / Minomoto, Y. / Nagano, Y. / Kembo, Y. / Hosaka, S. / Japan Society of Applied Physics et al. | 2001
- 244
-
New AFM imaging for high aspect structures: STI and contact holesMorimoto, T. / Kuroda, H. / Minomoto, Y. / Nagano, Y. / Kembo, Y. / Hosaka, S. et al. | 2001
- 246
-
1D-6-62L Phase Shifting Interferometer for the Characterization of NanodevicesBoher, P. / Piel, J. P. / Stehle, J. L. / Dubois, A. / Boccara, A. C. / Japan Society of Applied Physics et al. | 2001
- 246
-
Phase shifting interferometer for the characterization of nanodevicesBoher, P. / Piel, J.P. / Stehle, J.L. / Dubois, A. / Boccara, A.C. et al. | 2001
- 248
-
2A-7-1 Progress Toward Photocathode-Based Multiple Electron-Beam Lithography (Invited)Coyle, S. T. / Janaway, G. / Moldonado, J. / Japan Society of Applied Physics et al. | 2001
- 250
-
2A-7-2 Design and Evaluation of an Electron Objective Lens System with Two Lenses and Two DeflectorsOhta, H. / Sohda, Y. / Saitou, N. / Japan Society of Applied Physics et al. | 2001
- 250
-
Design and evaluation of an electron objective lens system with two lenses and two deflectorsOhta, H. / Sohda, Y. / Saitou, N. et al. | 2001
- 252
-
Sub-nanometer resolution ultrasonic motor for 300 mm wafer lithography precision stageEgashira, Y. / Kosaka, K. / Takada, S. / Iwabuchi, T. / Kosaka, T. / Baba, T. / Harada, T. / Nagamoto, K. / Nakada, A. / Kubota, H. et al. | 2001
- 252
-
2A-7-3 Sub-Nanometer Resolution Ultrasonic Motor for 300mm Wafer Lithography Precision StageEgashira, Y. / Kosaka, K. / Takada, S. / Iwabuchi, T. / Kosaka, T. / Baba, T. / Harada, T. / Nagamoto, K. / Nakada, A. / Kubota, H. et al. | 2001
- 254
-
2A-7-4 A Fast and Simplified Technique of Proximity Effect Correction for ULSI Patterns in Electron-Beam Projection LithographyOgino, K. / Hoshino, H. / Machida, Y. / Osawa, M. / Takahashi, K. / Arimoto, H. / Japan Society of Applied Physics et al. | 2001
- 254
-
A fast and simplified technique of proximity effect correction for ULSI patterns in electron-beam projection lithographyOgino, K. / Hoshino, H. / Machida, Y. / Osawa, M. / Takahashi, K. / Arimoto, H. et al. | 2001
- 256
-
Fluidic self-assembly of semiconductor devices: a promising new method of mass producing flexible circuitrySnyder, E.J. et al. | 2001
- 256
-
2B-7-1 Fluidic Self-Assembly of Semiconductor Devices: A Promising New Method of Mass Producing Flexible Circuitry (Invited)Snyder, E. J. / Japan Society of Applied Physics et al. | 2001
- 258
-
Lens fabrication on optical fiber end using photolithographySasaki, M. / Ando, T. / Nogawa, S. / Hane, K. et al. | 2001
- 258
-
2B-7-2 Lens Fabrication on Optical Fiber End Using PhotolithographySasaki, M. / Ando, T. / Nogawa, S. / Hane, K. / Japan Society of Applied Physics et al. | 2001
- 260
-
2B-7-3 Fabrication of Single Crystal Silicon Field Emitter Array on Glass SubstrateHiga, K. / Asano, T. / Japan Society of Applied Physics et al. | 2001
- 260
-
Fabrication of single crystal silicon field emitter array on glass substrateHiga, K. / Asano, T. et al. | 2001
- 262
-
2B-7-4 Monolithic Integration of Si Field Emitter Arrays with n-MOSFET CircuitsNagao, M. / Kanemaru, S. / Tamura, Y. / Tokunaga, K. / Matsukawa, T. / Itoh, J. / Japan Society of Applied Physics et al. | 2001
- 262
-
Monolithic integration of Si field emitter arrays with n-MOSFET circuitsNagao, M. / Kanemaru, S. / Tamura, Y. / Tokunaga, K. / Matsukawa, T. / Itoh, J. et al. | 2001
- 264
-
2C-7-1 Synchrotron Radiation Micro Lithography and Etching (SMILE) for MEMS Fabrication (Invited)Sugiyama, S. / Japan Society of Applied Physics et al. | 2001
- 264
-
Synchrotron radiation micro lithography and etching (SMILE) for MEMS fabricationSugiyama, S. et al. | 2001
- 266
-
Narrowband spectrum effect on resolution enhancement for 50-nm pattern printing by proximity x-ray lithographyWatanabe, H. / Itoga, K. et al. | 2001
- 266
-
2C-7-3 Narrowband Spectrum Effect on Resolution Enhancement for 50-nm Pattern Printing by Proximity X-Ray LithographyWatanabe, H. / Itoga, K. / Japan Society of Applied Physics et al. | 2001
- 268
-
2C-7-4 Analysis of Resist LER for the Patterns Replicated by Proximity X-Ray LithographyKikuchi, Y. / Taguchi, T. / Matsunaga, H. / Japan Society of Applied Physics et al. | 2001
- 268
-
Analysis of resist LER for the patterns replicated by proximity X-ray lithographyKikuchi, Y. / Taguchi, T. / Matsunaga, H. et al. | 2001
- 270
-
2A-8-1 Fluoropolymer Platforms for 157 nm Resist Applications (Invited)Ito, H. / Wallraf, G. M. / Fender, N. / Brock, P. J. / Truong, H. D. / Larson, C. E. / Allen, B. D. / Japan Society of Applied Physics et al. | 2001
- 270
-
Fluoropolymer platforms for 157 nm resist applicationsIto, H. / Wallraff, G.M. / Fender, N. / Brock, P.J. / Truong, H.D. / Larson, C.E. / Allen, B.D. et al. | 2001
- 272
-
Comparision between alicyclic resist platforms in advanced 193-nm and 157-nm lithographyUshirogouchi, T. / Shida, N. / Naito, T. / Saito, S. et al. | 2001
- 272
-
2A-8-2 Comparision between Alicyclic Resist Platformes in Advanced 193-nm and 157-nm LithographyUshirogouchi, T. / Shida, N. / Naito, T. / Saito, S. / Japan Society of Applied Physics et al. | 2001
- 274
-
2A-8-3 Binary Solvent Developer for Cross-Linked Positive-Tone ResistsYamaguchi, T. / Namatsu, H. / Japan Society of Applied Physics et al. | 2001
- 274
-
Binary solvent developer for cross-linked positive-tone resistsYamaguchi, T. / Namatsu, H. et al. | 2001
- 276
-
Fourier analysis of line-edge roughness in calixarene fine patternsIshida, M. / Fujita, J. / Ochiai, Y. / Yamamoto, H. / Touno, S. et al. | 2001
- 276
-
2A-8-4 Fourier Analysis of Line-Edge Roughness in Calixarene Fine PatternsIshida, M. / Fujita, J. / Ochiai, Y. / Yamamoto, H. / Touno, S. / Japan Society of Applied Physics et al. | 2001
- 278
-
2B-8-1 Si Single-Electron CCD (Invited)Fujiwara, A. / Yamazaki, K. / Takahashi, Y. / Japan Society of Applied Physics et al. | 2001
- 278
-
Si single-electron CCDFujiwara, A. / Yamazaki, K. / Takahashi, Y. et al. | 2001
- 280
-
2B-8-2 Nano-Electronics in a Multiwall Carbon NanotubeTsukagoshi, K. / Kanda, A. / Yoneya, N. / Watanabe, E. / Ootuka, Y. / Aoyagi, Y. / Japan Society of Applied Physics et al. | 2001
- 280
-
Nano-electronics in a multiwall carbon nanotubeTsukagoshi, K. / Kanda, A. / Yoneya, N. / Watanabe, E. / Ootuka, Y. / Aoyagi, Y. et al. | 2001
- 282
-
2B-8-3 Control of the Positioning of Self-Assembling Si Quantum Dots on Ultrathin SiO~2/c-Si by Using Scanning ProbeIkeda, M. / Takaoka, R. / Sugioka, S. / Miyazaki, S. / Hirose, M. / Japan Society of Applied Physics et al. | 2001
- 282
-
Control of the positioning of self-assembling Si quantum dots on ultrathin SiO/sub 2//c-Si by using scanning probeIkeda, M. / Takaoka, R. / Sugioka, S. / Miyazaki, S. / Hirose, M. et al. | 2001
- 284
-
2B-8-4 Structure and Resonant Characteristics of Amorphous Carbon Pillars Grown by FIB-CVDFujita, J. / Ishida, M. / Ichihashi, T. / Sakamoto, T. / Ochiai, Y. / Kaito, T. / Matsui, S. / Japan Society of Applied Physics et al. | 2001
- 284
-
Structure and resonant characteristics of amorphous carbon pillars grown by FIB-CVDFujita, J. / Ishida, M. / Ichihashi, T. / Sakamoto, T. / Ochiai, Y. / Kaito, T. / Matsui, S. et al. | 2001
- 286
-
Role of inserting layer controlling wavelength in InGaAs quantum dotsPark, S.K. / Park, Y.J. / Kim, H.J. / Lee, J.H. / Park, Y.M. / Kim, E.K. / Choi, W.J. / Han, I.K. et al. | 2001
- 286
-
2B-8-5 Role of Inserting Layer Controlling Wavelength in InGaAs Quantum DotsPark, S. K. / Park, Y. J. / Kim, H. J. / Lee, J. H. / Park, Y. M. / Kim, E. K. / Choi, W. J. / Han, I. K. / Lee, C. / Japan Society of Applied Physics et al. | 2001
- 288
-
Fabrication of channel waveguides in 2D photonic crystals of Si nanopillarsTada, T. / Poborchii, V.V. / Kanayama, T. et al. | 2001
- 288
-
2B-8-6 Fabrication of Channel Waveguides in 2D Photonic Crystals of Si NanopillarsTada, T. / Poborchii, V. V. / Kanayama, T. / Japan Society of Applied Physics et al. | 2001
- 290
-
Accuracy evaluation of point diffraction interferometer for EUVL mirrorOtaki, K. / Ota, K. / Yamamoto, T. / Fukuda, Y. / Nishiyama, I. / Okazaki, S. et al. | 2001
- 290
-
2C-8-1L Accuracy Evaluation of Point Diffraction Interferometer for EUVL MirrorOtaki, K. / Ota, K. / Yamamoto, T. / Fukuda, Y. / Nishiyama, I. / Okazaki, S. / Japan Society of Applied Physics et al. | 2001
- 292
-
Compact high power laser-plasma X-ray source for lithographyGaeta, C.J. / Rieger, H. / Turcu, I.C.E. / Forber, R.A. / Campeau, S.M. / Cassidy, K.L. / Powers, M.F. / Grygier, R.K. / Maldonado, J.R. / French, G. et al. | 2001
- 292
-
2C-8-2L Compact High Power Laser-Plasma X-Ray Source for LithographyGaeta, C. J. / Rieger, H. / Turcu, I. C. E. / Forber, R. A. / Campeau, S. M. / Cassidy, K. L. / Powers, M. F. / Grygier, R. K. / Maldonado, J. R. / French, G. et al. | 2001
- 294
-
2C-8-3L Extending and Manipulating Long DNA Molecules for High Resolution Analysis - Development of a Novel Nanobiotechnological Tool for Atomic Force MicroscopyGad, M. / Machida, M. / Mizutani, W. / Ishikawa, M. / Japan Society of Applied Physics et al. | 2001
- 294
-
Extending and manipulating long DNA molecules for high resolution analysis-development of a novel nanobiotechnological tool for atomic force microscopyGad, M. / Machida, M. / Mizutani, W. / Ishikawa, M. et al. | 2001
- 296
-
Field emission characteristics of screen-printed carbon nano tube after laser irradiationZhao, W.J. / Sawada, A. / Takai, M. et al. | 2001
- 296
-
2C-8-4L Field Emission Characteristics of Screen-Printed Carbon Nano Tube after Laser IrradiationZhao, W. J. / Sawada, A. / Takai, M. / Japan Society of Applied Physics et al. | 2001
- 298
-
Electrical transport properties of poly(G)-poly(C) DNA moleculesHwang, J.S. / Lee, G.S. / Kong, K.J. / Ahn, D.J. / Hwang, S.W. / Ahn, D. et al. | 2001
- 298
-
2C-8-5L Electrical Transport Properties of Poly(G)-Poly(C) DNA MoleculesHwang, J. S. / Lee, G. S. / Kong, K. J. / Ahn, D. J. / Hwang, S. W. / Ahn, D. / Japan Society of Applied Physics et al. | 2001
- 300
-
2C-8-6L Measurement of Adhesive Force between Mold and Photo-Curable Resin in Imprint TechnologyTaniguchi, J. / Kawasaki, T. / Tokano, Y. / Kogo, Y. / Miyamoto, I. / Komuro, M. / Hiroshima, H. / Sakai, N. / Tada, K. / Japan Society of Applied Physics et al. | 2001
- 300
-
Measurement of adhesive force between mold and photo-curable resin in imprint technologyTaniguchi, J. / Kawasaki, T. / Tokano, Y. / Kogo, Y. / Miyamoto, I. / Komuro, M. / Hiroshima, H. / Sakai, N. / Tada, K. et al. | 2001
- 302
-
A study of line edge roughness in chemically amplified resist for low energy electron-beam lithographyNakasugi, T. / Ando, A. / Inanami, R. / Sasaki, N. / Sugihara, K. et al. | 2001
- 302
-
2C-8-7L A Study of Line Edge Roughness in Chemically Amplified Resist for Low Energy Electron-Beam LithographyNakasugi, T. / Ando, A. / Inanami, R. / Sasaki, N. / Sugihara, K. / Japan Society of Applied Physics et al. | 2001
- 304
-
2C-8-8L A New Industrial Tool Combining VUV Spectroscopic Ellipsometry and Grazing X-Ray Reflectance to Characterize 157nm StructuresBoher, P. / Evrard, P. / Piel, J. P. / Stehle, J. L. / Japan Society of Applied Physics et al. | 2001
- 304
-
A new industrial tool combining VUV spectroscopic ellipsometry and grazing X-ray reflectance to characterize 157 nm structuresBoher, P. / Evrard, P. / Piel, J.P. / Stehle, J.L. et al. | 2001
- 306
-
2A-9-1 157nm Lithography for 70nm Technology Node (Invited)Itani, T. / Japan Society of Applied Physics et al. | 2001
- 306
-
157 nm lithography for 70 nm technology nodeItani, T. et al. | 2001
- 308
-
Development of F2 exposure toolsOwa, S. / Shiraishi, N. / Omura, Y. / Aoki, T. / Matsumoto, Y. / Hatasawa, M. / Mori, T. / Tanaka, I. et al. | 2001
- 308
-
2A-9-2 Development of F2 Exposure Tools (Invited)Owa, S. / Shiraishi, N. / Omura, Y. / Aoki, T. / Matsumoto, Y. / Hatasawa, M. / Mori, T. / Tanaka, I. / Japan Society of Applied Physics et al. | 2001
- 310
-
2A-9-4 A Study of 157nm Resist Design by Using Highly Precise Theoretical Calculation of Absorption SpectraYamazaki, T. / Itani, T. / Japan Society of Applied Physics et al. | 2001
- 310
-
A study of 157 nm resist design by using highly precise theoretical calculation of absorption spectraYamazaki, T. / Itani, T. et al. | 2001
- 312
-
2A-9-5 Evaluation of High Transmittance Attenuated Phase Shifting Mask for 157nm LithographyYamabe, O. / Watanabe, K. / Itani, T. / Japan Society of Applied Physics et al. | 2001
- 312
-
Evaluation of high transmittance attenuated phase shifting mask for 157 nm lithographyYamabe, O. / Watanabe, K. / Itani, T. et al. | 2001
- 314
-
Author index| 2001
- i
-
2001 International Microprocesses and Nanotechnology Conference - Digest of Papers| 2001