Pellicle-induced distortions in advanced photomasks [4754-60] (Englisch)
- Neue Suche nach: Fujita, M.
- Neue Suche nach: Akiyama, M.
- Neue Suche nach: Kondo, M.
- Neue Suche nach: Nakagawa, H.
- Neue Suche nach: Tanzil, D.
- Neue Suche nach: Eschbach, F. O.
- Neue Suche nach: Cotte, E. P.
- Neue Suche nach: Engelstad, R. L.
- Neue Suche nach: Lovell, E. G.
- Neue Suche nach: Society of Photo-Optical Instrumentation Engineers
- Neue Suche nach: Fujita, M.
- Neue Suche nach: Akiyama, M.
- Neue Suche nach: Kondo, M.
- Neue Suche nach: Nakagawa, H.
- Neue Suche nach: Tanzil, D.
- Neue Suche nach: Eschbach, F. O.
- Neue Suche nach: Cotte, E. P.
- Neue Suche nach: Engelstad, R. L.
- Neue Suche nach: Lovell, E. G.
- Neue Suche nach: Kawahira, H.
- Neue Suche nach: Society of Photo-Optical Instrumentation Engineers
In:
Photomask and next-generation lithography mask technology
;
589-596
;
2002
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Print
-
Titel:Pellicle-induced distortions in advanced photomasks [4754-60]
-
Beteiligte:Fujita, M. ( Autor:in ) / Akiyama, M. ( Autor:in ) / Kondo, M. ( Autor:in ) / Nakagawa, H. ( Autor:in ) / Tanzil, D. ( Autor:in ) / Eschbach, F. O. ( Autor:in ) / Cotte, E. P. ( Autor:in ) / Engelstad, R. L. ( Autor:in ) / Lovell, E. G. ( Autor:in ) / Kawahira, H.
-
Kongress:Conference; 9th, Photomask and next-generation lithography mask technology ; 2002 ; Yokohama, Japan
-
Erschienen in:PROCEEDINGS- SPIE THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING ; 4754 ; 589-596
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:01.01.2002
-
Format / Umfang:8 pages
-
Anmerkungen:Also known as Photomask Japan 2002
-
ISBN:
-
ISSN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Lithography strategy for 65-nm nodeBorodovsky, Yan A. / Schenker, Richard E. / Allen, Gary A. / Tejnil, Edita / Hwang, David H. / Lo, Fu-Chang / Singh, Vivek K. / Gleason, Robert E. / Brandenburg, Joseph E. / Bigwood, Robert M. et al. | 2002
- 1
-
Lithography strategy for 65-nm node (Invited Paper) [4754-01]Borodovsky, Y. A. / Schenker, R. E. / Allen, G. A. / Tejnil, E. / Hwang, D. H. / Lo, F.-C. / Singh, V. K. / Gleason, R. E. / Brandenburg, J. E. / Bigwood, R. M. et al. | 2002
- 15
-
Lithography technology trend for DRAM devices (Invited Paper) [4754-09]Han, W.-S. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 15
-
Lithography technology trend for DRAM devicesHan, Woo-Sung et al. | 2002
- 26
-
157-nm lithography program at International SEMATECHDao, Giang T. / Yen, Anthony / Trybula, Walter J. et al. | 2002
- 26
-
157-nm lithography program at International SEMATECH (Invited Paper) [4754-85]Dao, G. T. / Yen, A. / Trybula, W. J. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 33
-
Flexible mask specificationsNojima, Shigeki / Mimotogi, Shoji / Itoh, Masamitsu / Ikenaga, Osamu / Hasebe, Shigeru / Hashimoto, Kohji / Inoue, Soichi / Goto, Mineo / Mori, Ichiro et al. | 2002
- 33
-
Flexible mask specifications [4754-90]Nojima, S. / Mimotogi, S. / Itoh, M. / Ikenaga, O. / Hasebe, S. / Hashimoto, K. / Inoue, S. / Goto, M. / Mori, I. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 43
-
New concept of specification for mask flatnessItoh, Masamitsu / Inoue, Soichi / Okumura, Katsuya / Hagiwara, Tsuneyuki / Moriya, Jiro et al. | 2002
- 43
-
New concept of specification for mask flatness [4754-41]Itoh, M. / Inoue, S. / Okumura, K. / Hagiwara, T. / Moriya, J. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 54
-
Advanced data preparation and design automation (Invited Paper) [4754-13]Schellenberg, F. M. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 54
-
Advanced data preparation and design automationSchellenberg, Franklin M. et al. | 2002
- 66
-
Generic hierarchical engine for mask data preparation [4754-14]Kalus, C. K. / Rossl, W. / Schnitker, U. / Simecek, M. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 66
-
Generic hierarchical engine for mask data preparationKalus, Christian K. / Roessl, Wolfgang / Schnitker, Uwe / Simecek, Michal et al. | 2002
- 75
-
Investigating into mask contribution to device performance and chip functionality [4754-15]Watts, A. J. / Rankin, J. H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 75
-
Investigating into mask contribution to device performance and chip functionalityWatts, Andrew J. / Rankin, Jed H. et al. | 2002
- 85
-
Distributed hierarchical processingDePesa, Paul / Keogan, Danny et al. | 2002
- 85
-
Distributed hierarchical processing [4754-16]DePesa, P. / Keogan, D. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 91
-
High-performance hierarchical fracturing [4754-17]Cobb, N. B. / Zhang, W. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 91
-
High-performance hierarchical fracturingCobb, Nicolas B. / Zhang, Weidong et al. | 2002
- 97
-
Life is better without nonorthogonal or non-45-deg. edges: a practical solution to alleviate the pain on OPC and mask writingLynn, Eric C. / Chen, Shih-Ying et al. | 2002
- 97
-
Life is better without nonorthogonal or non-45-deg. edges: a practical solution to alleviate the pain on OPC and mask writing [4754-18]Lynn, E. C. / Chen, S.-Y. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 106
-
Pattern recognition in the database of a mask layout [4754-19]Chen, S.-Y. / Lynn, E. C. / Shin, J. J. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 106
-
Pattern recognition in the database of a mask layoutChen, Shih-Ying / Lynn, Eric C. / Shin, Jaw-Jung et al. | 2002
- 116
-
Design flow automation for variable-shaped beam pattern generatorsBloecker, Martin / Ballhorn, Gerd et al. | 2002
- 116
-
Design flow automation for variable-shaped beam pattern generators [4754-21]Blocker, M. / Ballhorn, G. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 124
-
Simulation method using the image filter method [4754-23]Minemura, M. / Takahashi, K. / Sakurai, M. / Sugawa, K. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 124
-
Simulation method using the image filter methodMinemura, Masahiko / Takahashi, Kazuhiko / Sakurai, Mitsuo / Sugawa, Kazuya et al. | 2002
- 132
-
Enriching design intent for optimal OPC and RET [4754-24]Rieger, M. L. / Gravoulet, V. / Mayhew, J. P. / Beale, D. F. / Lugg, R. M. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 132
-
Enriching design intent for optimal OPC and RETRieger, Michael L. / Gravoulet, Valery / Mayhew, Jeffrey P. / Beale, Daniel F. / Lugg, Robert M. et al. | 2002
- 138
-
Phase assignment for bright field of dense contactTang, Nail et al. | 2002
- 138
-
Phase assignment for bright field of dense contact [4754-25]Tang, N. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 146
-
Two-dimensional G-MEEF theory and applications [4754-26]Granik, Y. / Cobb, N. B. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 146
-
Two-dimensional G-MEEF theory and applicationsGranik, Yuri / Cobb, Nicolas B. et al. | 2002
- 156
-
Template of specifications for assist feature script implementationChen, Shih-Ying / Lynn, Eric C. et al. | 2002
- 156
-
Template of specifications for assist feature script implementation [4754-28]Chen, S.-Y. / Lynn, E. C. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 167
-
Characteristics of negative-tone chemically amplified resist (MES-EN1G) for 50-keV EB mask writing systemKondoh, Takehiro / Itoh, Masamitsu / Kai, Toshiyuki et al. | 2002
- 167
-
Characteristics of negative-tone chemically amplified resist (MES-EN1G) for 50-keV EB mask writing system [4754-02]Kondoh, T. / Itoh, M. / Kai, T. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 176
-
Comparative evaluation of e-beam sensitive chemically amplified resists for mask making [4754-03]Irmscher, M. / Beyer, D. / Butschke, J. / Constantine, C. / Hoffmann, T. / Koepernik, C. / Krauss, C. / Leibold, B. / Letzkus, F. / Mueller, D. et al. | 2002
- 176
-
Comparative evaluation of e-beam sensitive chemically amplified resists for mask makingIrmscher, Mathias / Beyer, Dirk / Butschke, Joerg / Constantine, Chris / Hoffmann, Thomas / Koepernik, Corinna / Krauss, Christian / Leibold, Bernd / Letzkus, Florian / Mueller, Dietmar et al. | 2002
- 188
-
Improvement of critical dimension stability of chemically amplified resist by overcoat [4754-04]Kumada, T. / Sasahara, A. / Maetoko, K. / Hosono, K. / Honma, T. / Kodaira, Y. / Nakashiba, Y. / Tsuzuki, M. / Kikuchi, Y. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 188
-
Improvement of critical dimension stability of chemically amplified resist by overcoatKumada, Teruhiko / Sasahara, Atsuko / Maetoko, Kazuyuki / Hosono, Kunihiro / Honma, Takemichi / Kodaira, Yuji / Nakashiba, Yukio / Tsuzuki, Masaoshi / Kikuchi, Yasutaka et al. | 2002
- 196
-
Advanced pattern correction method for fabricating highly accurate reticles [4754-05]Sato, S. / Koyama, M. / Katsumata, M. / Kagami, I. / Kawahira, H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 196
-
Advanced pattern correction method for fabricating highly accurate reticlesSato, Shunichiro / Koyama, Masaaki / Katsumata, Mikio / Kagami, Ichiro / Kawahira, Hiroichi et al. | 2002
- 205
-
Fogging and pattern loading effect by writing strategy [4754-29]Cho, J. S. / Baek, S. H. / Nam, K. H. / Cho, H. J. / Courboin, D. / Jeong, S. H. / Lee, I. S. / Shin, C. / Kim, H. S. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 205
-
Fogging and pattern loading effect by writing strategyCho, Junsik S. / Baek, Seung Hee / Nam, Kyung-Han / Cho, H. J. / Courboin, Daniel / Jeong, Seong-Ho / Lee, In-Soo / Shin, Cheol / Kim, Hong-Seok et al. | 2002
- 217
-
NEGATIVE-CAR blanks feasibility study results for EB reticle fabrication beyond 100-nm nodeOta, Fumiko / Hashimoto, Masahiro / Asakawa, Keishi / Higuchi, Takao / Yokoya, Yasunori et al. | 2002
- 217
-
NEGATIVE-CAR blanks feasibility study results for EB reticle fabrication beyond 100-nm node [4754-30]Ota, F. / Hashimoto, M. / Asakawa, K. / Higuchi, T. / Yokoya, Y. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 229
-
Early mask results of KRS-XE and current progress in improving sensitivity and etch resistance [4754-31]Deverich, C. / Watts, A. J. / Rabidoux, P. A. / Cardinali, T. J. / Aaskov, W. A. / Levin, P. / Huang, W.-S. / Moreau, W. M. / Angelopoulos, M. / Petrillo, K. E. et al. | 2002
- 229
-
Early mask results of KRS-XE and current progress in improving sensitivity and etch resistanceDeverich, Christina / Watts, Andrew J. / Rabidoux, Paul A. / Cardinali, Thomas J. / Aaskov, William A. / Levin, Peter / Huang, Wu-Song / Moreau, Wayne M. / Angelopoulos, Marie / Petrillo, Karen E. et al. | 2002
- 241
-
Comparison between positive and negative 50-k eV E-beam CAR for 0.1-μm generationLin, Denis / Hung, Kevin / Lee, Don / Chou, Rex L. / Unno, Hiromasa / Yang, Samuel C. et al. | 2002
- 241
-
Comparison between positive and negative 50-k eV E-beam CAR for 0.1-mum generation [4754-32]Lin, D. / Hung, K. / Lee, D. / Chou, R. L. / Unno, H. / Yang, S. C. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 252
-
Model of coating and drying process for flat polymer film fabrication [4754-33]Kagami, H. / Miyagawa, R. / Kawata, A. / Nakashima, D. / Kobayashi, S. / Kitano, T. / Takeshita, K. / Kubota, H. / Ohmi, T. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 252
-
Model of coating and drying process for flat polymer film fabricationKagami, Hiroyuki / Miyagawa, Ryuji / Kawata, Atsushi / Nakashima, Daisuke / Kobayashi, Shinji / Kitano, Takahiro / Takeshita, Kazuhiro / Kubota, Hiroshi / Ohmi, Tadahiro et al. | 2002
- 260
-
Molecular contamination control in photomask/reticle manufacturing using chemically amplified resists (CAR) lessons from wafer lithography [4754-34]Hudzik, J. S. / Kishkovich, O. P. / Higley, J. K. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 260
-
Molecular contamination control in photomask/reticle manufacturing using chemically amplified resists (CAR) lessons from wafer lithographyHudzik, James S. / Kishkovich, Oleg P. / Higley, John K. et al. | 2002
- 274
-
Novel baking technology using halogen lamps for higher-precision photomask fabricationSakurai, Hideaki / Itoh, Masamitsu / Fujiwara, Noboru / Yasuda, Satoshi / Ishimura, T. / Wakayama, Shigeru / Ito, Shinichi et al. | 2002
- 274
-
Novel baking technology using halogen lamps for higher-precision photomask fabrication [4754-35]Sakurai, H. / Itoh, M. / Fujiwara, N. / Yasuda, S. / Ishimura, T. / Wakayama, S. / Ito, S. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 282
-
Microwave plasma resist stripping for mask manufacturing [4754-40]Ruhl, G. G. / Nesladek, P. / Boesl, A. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 282
-
Microwave plasma resist stripping for mask manufacturingRuhl, Guenther G. / Nesladek, Pavel / Boesl, Astrid et al. | 2002
- 291
-
Improvements in MoSi EAPSM CD bias and iso-dense linearity plasma etch results utilizing design of experiments process optimization of Gen III ICP plasma sourcePlumhoff, Jason / Constantine, Chris / Shin, J. / Rausa, Emmanuel et al. | 2002
- 291
-
Improvements in MoSi EAPSM CD bias and iso-dense linearity plasma etch results utilizing design of experiments process optimization of Gen III ICP plasma source [4754-06]Plumhoff, J. / Constantine, C. / Shin, J. / Rausa, E. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 303
-
Highly anisotropic etching of phase-shift masks using ICP of CF4-SF6-CHF3gas mixturesChoi, Se-Jong / Cha, Han-Sun / Yoon, Si-Yeul / Kim, Yong-Dae / Lee, Dong-Hyuk / Kim, Jin-Min / Kim, Jin-Su / Min, Dong-Soo / Jang, Pil-Jin / Chang, Byung-Soo et al. | 2002
- 303
-
Highly anisotropic etching of phase-shift masks using ICP of CF~4-SF~6-CHF~3 gas mixtures [4754-07]Choi, S.-J. / Cha, H.-S. / Yoon, S.-Y. / Kim, Y.-D. / Lee, D.-H. / Kim, J.-M. / Kim, J.-S. / Min, D.-S. / Jang, P.-J. / Chang, B.-S. et al. | 2002
- 312
-
In-situ optical emission spectroscopic examination of chrome etch for photomasksAnderson, Rex / Sandlin, Nicole L. / Buie, Melisa J. / Su, Clyde / Agarwal, Ashish / Brooks, Cynthia J. / Huang, Yi-Chiau / Stoehr, Brigitte C. et al. | 2002
- 312
-
In-situ optical emission spectroscopic examination of chrome etch for photomasks [4754-08]Anderson, R. / Sandlin, N. / Buie, M. J. / Su, C. / Agarwal, A. / Brooks, C. J. / Huang, Y.-C. / Stoehr, B. C. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 323
-
CAR dry etching technology to produce 0.13-mum reticle [4754-36]Chou, W.-Z. / Tsai, F.-G. / Tuo, C. C. / Yoo, C. S. / Tsai, T. S. / Shue, L. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 323
-
CAR dry etching technology to produce 0.13-μm reticleChou, W. Z. / Tsai, Fei-Gwo / Tuo, C. C. / Yoo, Chue San / Tsai, T. S. / Shue, Lawrence et al. | 2002
- 332
-
Etching selectivity and surface profile of attenuated phase-shifting mask using CF~4/O~2/He inductively coupled plasma (ICP) [4754-37]Yoon, S.-Y. / Choi, S.-J. / Kim, Y.-D. / Lee, D.-H. / Cha, H.-S. / Kim, J.-M. / Choi, S.-S. / Jeong, S. H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 332
-
Etching selectivity and surface profile of attenuated phase-shifting mask using CF4/O2/He inductively coupled plasma (ICP)Yoon, Si-Yeul / Choi, Se-Jong / Kim, Yong-Dae / Lee, Dong-Hyuk / Cha, Han-Sun / Kim, Jin-Min / Choi, Sang-Soo / Jeong, Soo Hong et al. | 2002
- 341
-
Application of multiple-wavelength absorption endpoint system in photomask dry etcher [4754-38]Min, D.-S. / Jang, P.-J. / Kwon, H.-J. / Choi, B.-Y. / Jeong, S. H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 341
-
Application of multiple-wavelength absorption endpoint system in photomask dry etcherMin, Dong-Soo / Jang, Pil-Jin / Kwon, Hyuk-Joo / Choi, Boo-Yeon / Jeong, Soo-Hong et al. | 2002
- 350
-
Dry etching of chrome for photomasks for 100-nm technology using chemically amplified resistMueller, Mark / Komarov, Serguie / Baik, Ki-Ho et al. | 2002
- 350
-
Dry etching of chrome for photomasks for 100-nm technology using chemically amplified resist [4754-39]Mueller, M. / Komarov, S. / Baik, K.-H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 361
-
Mask design optimization for 70-nm technology node using chromeless phase lithography (CPL) based on 100% transmission phase-shifting maskChen, J. Fung / Van Den Broeke, Douglas J. / Hsu, Michael / Laidig, Thomas L. / Wampler, Kurt E. / Shi, Xuelong / Hsu, Stephen / Shafer, Ted et al. | 2002
- 361
-
Mask design optimization for 70-nm technology node using chromeless phase lithography (CPL) based on 100% transmission phase-shifting mask [4754-113]Chen, J. F. / Van Den Broeke, D. J. / Hsu, M. / Laidig, T. L. / Wampler, K. E. / Shi, X. / Hsu, S. / Shafer, T. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 373
-
Reticle defect printability for sub-0.3k~1 chromeless phase lithography (CPL) technology [4754-69]Hsu, S. / Van Den Broeke, D. J. / Shi, X. / Chen, J. F. / Knose, W. T. / Corcoran, N. P. / Vedula, S. / MacNaughton, C. W. / Richie, M. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 373
-
Reticle defect printability for sub-0.3k1chromeless phase lithography (CPL) technologyHsu, Stephen / Van Den Broeke, Douglas J. / Shi, Xuelong / Chen, J. Fung / Knose, William T. / Corcoran, Noel P. / Vedula, Srinivas / MacNaughton, Craig W. / Richie, Michael et al. | 2002
- 384
-
Fabrication of the 70-nm line patterns with ArF chromeless phase-shift masksIwasaki, Haruo / Ishida, Shinji / Hashimoto, Takeo et al. | 2002
- 384
-
Fabrication of the 70-nm line patterns with ArF chromeless phase-shift masks [4754-87]Iwasaki, H. / Ishida, S. / Hashimoto, T. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 396
-
Optimization of Alt-PSM structure for 100-nm-node ArF lithography: IIMesuda, Kei / Toyama, Nobuhito / Narukawa, Syogo / Morikawa, Yasutaka / Mohri, Hiroshi / Hayashi, Naoya / Hoga, Morihisa et al. | 2002
- 396
-
Optimization of Alt-PSM structure for 100-nm-node ArF lithography: II [4754-67]Mesuda, K. / Toyama, N. / Narukawa, S. / Morikawa, Y. / Mohri, H. / Hayashi, N. / Hoga, M. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 410
-
Alternating phase-shifting masks: phase determination and impact of quartz defects-theoretical and experimental results [4754-68]Griesinger, U. A. / Dettmann, W. / Hennig, M. / Heumann, J. P. / Kohle, R. / Ludwig, R. / Verbeek, M. / Zarrabian, M. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 410
-
Alternating phase-shifting masks: phase determination and impact of quartz defects--theoretical and experimental resultsGriesinger, Uwe A. / Dettmann, Wolfgang / Hennig, Mario / Heumann, Jan P. / Koehle, Roderick / Ludwig, Ralf / Verbeek, Martin / Zarrabian, Mardjan et al. | 2002
- 422
-
Process of manufacturing and inspection of high-end (ternary) tritone EAPSM reticles for 0.13-mum design rule generation [4754-11]Tuan, S. / Gottlib, G. / Rosenbusch, A. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 422
-
Process of manufacturing and inspection of high-end (ternary) tritone EAPSM reticles for 0.13-μm design rule generationTuan, Steve / Gottlib, Gidon / Rosenbusch, Anja et al. | 2002
- 428
-
Application of AlSi-based materials on approach of chemical stability of embedded layer for bilayer attenuated phase-shifting mask in 193-nm lithographyLin, Cheng-Ming / Loong, Wen-An et al. | 2002
- 428
-
Application of AlSi-based materials on approach of chemical stability of embedded layer for bilayer attenuated phase-shifting mask in 193-nm lithography [4754-70]Lin, C.-M. / Loong, W.-A. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 437
-
Simulation of transmittance on the effect of resolution enhancement of 100-nm pattern with attenuated phase-shifting mask in 193-nm lithography [4754-71]Lin, C.-M. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 437
-
Simulation of transmittance on the effect of resolution enhancement of 100-nm pattern with attenuated phase-shifting mask in 193-nm lithographyLin, Cheng-Ming et al. | 2002
- 444
-
Feasibility study of TaSiOx-type Att-PSM for 157-nm lithography [4754-86]Watanabe, K. / Yamabe, O. / Kanda, N. / Kim, J. / Uchida, N. / Irie, S. / Suganaga, T. / Itani, T. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 444
-
Feasibility study of TaSiOx-type Att-PSM for 157-nm lithographyWatanabe, Kunio / Yamabe, Osamu / Kanda, Noriyoshi / Kim, J. / Uchida, Noboru / Irie, Shigeo / Suganaga, Toshifumi / Itani, Toshiro et al. | 2002
- 452
-
Pattern fidelity improvement by considering the underlying patterns at defocus [4754-73]Chiou, K. / Huang, J. / Lee, S. / Lee, C. Y. / Tang, N. / Peng, J. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 452
-
Pattern fidelity improvement by considering the underlying patterns at defocusChiou, Karl / Huang, Jerry / Lee, S. / Lee, Chih Yu / Tang, Nail / Peng, Janet et al. | 2002
- 460
-
Adjustment of optical proximity correction (OPC) software for mask process correction (MPC): Module 2. Lithography simulation based on optical mask writing tool simulation [4754-74]Barberet, A. / Buck, P. D. / Fanget, G. L. / Toublan, O. / Richoilley, J.-C. / Tissier, M. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 460
-
Adjustment of optical proximity correction (OPC) software for mask process correction (MPC): Module 2. Lithography simulation based on optical mask writing tool simulationBarberet, Alexandra / Buck, Peter D. / Fanget, Gilles L. / Toublan, Olivier / Richoilley, Jean-Charles / Tissier, Michel et al. | 2002
- 471
-
New resolution enhancement method realizing the limit of single mask exposureYamazoe, Kenji / Hasegawa, Masanobu / Saitoh, Kenji / Suzuki, Akiyoshi et al. | 2002
- 471
-
New resolution enhancement method realizing the limit of single mask exposure [4754-89]Yamazoe, K. / Hasegawa, M. / Saitoh, K. / Suzuki, A. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 483
-
Progressive self-learning photomask defect classification [4754-99]Lynn, E. C. / Chen, S.-Y. / Hsu, T.-H. / Hung, C.-C. / Lin, C.-H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 483
-
Progressive self-learning photomask defect classificationLynn, Eric C. / Chen, Shih-Ying / Hsu, Tyng-Hao / Hung, Chang-Cheng / Lin, Chin-Hsiang et al. | 2002
- 492
-
Improved method for measuring and assessing reticle pinhole defects for the 100-nm lithography nodeTaylor, Darren / Vacca, Anthony / Zurbrick, Larry S. et al. | 2002
- 492
-
Improved method for measuring and assessing reticle pinhole defects for the 100-nm lithography node [4754-100]Taylor, D. / Vacca, A. / Zurbrick, L. S. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 499
-
New energy flux method for inspection of contact layer reticles [4754-102]Volk, W. W. / Garcia, H. I. / Becker, C. / Chen, G. / Watson, S. G. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 499
-
New energy flux method for inspection of contact layer reticlesVolk, William W. / Garcia, Hector I. / Becker, Charika / Chen, George / Watson, Sterling G. et al. | 2002
- 511
-
Alternating phase-shift mask inspection using multiple simultaneous illumunation techniquesZurbrick, Larry S. / Heumann, Jan P. / Rudzinski, Maciej W. / Stokowski, Stanley E. / Urbach, Jan-Peter / Wang, Lantian et al. | 2002
- 511
-
Alternating phase-shift mask inspection using multiple simultaneous illumunation techniques [4754-103]Zurbrick, L. S. / Heumann, J. P. / Rudzinski, M. W. / Stokowski, S. E. / Urbach, J.-P. / Wang, L. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 517
-
Required performances of reticle inspection system for ArF lithography through analysis of defect printability studyKim, Byung Gook / Tanaka, Keishi / Yoshioka, Nobuyuki / Takayama, Naohisa / Hatta, Keiichi / Murakami, Shingo / Otaki, Masao et al. | 2002
- 517
-
Required performances of reticle inspection system for ArF lithography through analysis of defect printability study [4754-45]Kim, B. G. / Tanaka, K. / Yoshioka, N. / Takayama, N. / Hatta, K. / Murakami, S. / Otaki, M. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 526
-
High-performance DUV inspection system for 100-nm generation masksTsuchiya, Hideo / Isomura, Ikunao / Nakashima, Kazuhiro / Yamashita, Kyoji / Watanabe, Toshiyuki / Nishizaka, Takeshi / Ikeda, Hiroyuki / Sawa, Eiji / Ikeda, Masami et al. | 2002
- 526
-
High-performance DUV inspection system for 100-nm generation masks [4754-47]Tsuchiya, H. / Isomura, I. / Nakashima, K. / Yamashita, K. / Watanabe, T. / Nishizaka, T. / Ikeda, H. / Sawa, E. / Ikeda, M. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 534
-
Detection of half-tone PSM pinhole with DUV reflected light sourceFujiwara, Takeshi / Inoue, Hiromu / Okuda, Kentaro / Nomura, Takehiko / Tabata, Mitsuo / Endo, Satoshi et al. | 2002
- 534
-
Detection of half-tone PSM pinhole with DUV reflected light source [4754-48]Fujiwara, T. / Inoue, H. / Okuda, K. / Nomura, T. / Tabata, M. / Endo, S. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 542
-
130-nm reticle inspection using multibeam UV-wavelength database inspection [4754-49]Aquino, C. / Schlaffer, R. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 542
-
130-nm reticle inspection using multibeam UV-wavelength database inspectionAquino, Christopher M. / Schlaffer, Robert et al. | 2002
- 554
-
Processing techniques in the manufacture of 100-nm node and below inspection test reticlesCheng, Nicole / Su, Clyde / Chen, Frank / Cheng, Bill / Taylor, Darren et al. | 2002
- 554
-
Processing techniques in the manufacture of 100-nm node and below inspection test reticles [4754-52]Cheng, N. / Su, C. / Chen, F. / Cheng, B. / Taylor, D. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 558
-
Hard pellicle study for 157-nm lithography [4754-98]Shu, E. Y. / Lo, F.-C. / Eschbach, F. O. / Cotte, E. P. / Engelstad, R. L. / Lovell, E. G. / Okada, K. / Kikugawa, S. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 558
-
Hard pellicle study for 157-nm lithographyShu, Emily Y. / Lo, Fu-Chang / Eschbach, Florence O. / Cotte, Eric P. / Engelstad, Roxann L. / Lovell, Edward G. / Okada, Kaname / Kikugawa, Shinya et al. | 2002
- 570
-
Development of hard pellicle for 157 nm [4754-58]Okada, K. / Ootsuka, K. / Ishikawa, I. / Ikuta, Y. / Kojima, H. / Kawahara, T. / Minematsu, T. / Mishiro, H. / Kikugawa, S. / Sasuga, Y. et al. | 2002
- 570
-
Development of hard pellicle for 157 nmOkada, Kaname / Ootsuka, K. / Ishikawa, I. / Ikuta, Yoshiaki / Kojima, H. / Kawahara, T. / Minematsu, T. / Mishiro, H. / Kikugawa, Shinya / Sasuga, Y. et al. | 2002
- 579
-
Experimental and numerical studies of the effects of materials and attachment conditions on pellicle-induced distortions in advanced photomasks [4754-59]Cotte, E. P. / Engelstad, R. L. / Lovell, E. G. / Tanzil, D. / Eschbach, F. O. / Shu, E. Y. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 579
-
Experimental and numerical studies of the effects of materials and attachment conditions on pellicle induced distortions in advanced photomasksCotte, Eric P. / Engelstad, Roxann L. / Lovell, Edward G. / Tanzil, Daniel / Eschbach, Florence O. / Shu, Emily Y. et al. | 2002
- 589
-
Pellicle-induced distortions in advanced photomasks [4754-60]Fujita, M. / Akiyama, M. / Kondo, M. / Nakagawa, H. / Tanzil, D. / Eschbach, F. O. / Cotte, E. P. / Engelstad, R. L. / Lovell, E. G. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 589
-
Pellicle-induced distortions in advanced photomasksFujita, Minoru / Akiyama, Masaya / Kondo, Masahiro / Nakagawa, Hiroaki / Tanzil, Daniel / Eschbach, Florence O. / Cotte, Eric P. / Engelstad, Roxann L. / Lovell, Edward G. et al. | 2002
- 597
-
Characteristics of residues and optical change of HT PSM during stepwise wet cleaning and optimization of HT PSM cleaning processJeong, Woo-Gun / Kim, Dae-Woo / Park, Chang-Min / An, Ki-Won / Lee, Dong-Heok / Kim, Jin-Min / Choi, Sang-Soo / Jeong, Soo Hong et al. | 2002
- 597
-
Characteristics of residues and optical change of HT PSM during stepwise wet cleaning and optimization of HT PSM cleaning process [4754-57]Jeong, W.-G. / Kim, D.-W. / Park, C.-M. / An, K.-W. / Lee, D.-H. / Kim, J.-M. / Choi, S.-S. / Jeong, S. H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 606
-
Novel procedure for mask disposition using electrical signatures of mask defectsBalasinski, Artur P. / Iandolo, Walter / Ray, Oindrila / Karklin, Linard / Axelrad, Valery et al. | 2002
- 606
-
Novel procedure for mask disposition using electrical signatures of mask defects [4754-91]Balasinski, A. / Iandolo, W. / Ray, O. / Karklin, L. / Axelrad, V. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 614
-
Defect printability analysis on alternating phase-shifting masksPang, Linyong / Qian, Qi-De / Chan, Kevin K. / Morikawa, Yasutaka / Nishiguchi, Masaharu / Hayashi, Naoya et al. | 2002
- 614
-
Defect printability analysis on alternating phase-shifting masks [4754-61]Pang, L. / Qian, Q.-D. / Chan, K. K. / Morikawa, Y. / Nishiguchi, M. / Hayashi, N. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 622
-
Defect dispositioning using mask printability analysis on alternating phase-shifting masksChang, Chung-Hsing / Hsieh, Chen-Hao / Tzu, San-De / Dai, Chang-Min / Lin, Burn J. / Pang, Linyong / Qian, Qi-De / Chen, Jiunn-Hung / Huang, Jason H. et al. | 2002
- 622
-
Defect dispositioning using mask printability analysis on alternating phase-shifting masks [4754-62]Chang, C.-H. / Hsieh, C.-H. / Tzu, S.-D. / Dai, C.-M. / Lin, B. J. / Pang, L. / Qian, Q.-D. / Chen, J.-H. / Huang, J. H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 630
-
Defect printability of ArF alternative phase-shift mask: a critical comparison of simulation and experiment [4754-63]Ozawa, K. / Komizo, T. / Ohnuma, H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 630
-
Defect printability of ArF alternative phase-shift mask: a critical comparison of simulation and experimentOzawa, Ken / Komizo, Tooru / Ohnuma, Hidetoshi et al. | 2002
- 640
-
Defect printability for 100-nm design rule using 193-nm lithographyPhilipsen, Vicky / Jonckheere, Rik M. / Kohlpoth, Stephanie / Torres, Andres et al. | 2002
- 640
-
Defect printability for 100-nm design rule using 193-nm lithography [4754-64]Philipsen, V. / Jonckheere, R. M. / Kohlpoth, S. / Torres, A. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 652
-
Simulation-based defect printability analysis on attenuated phase-shifting masks [4754-65]Pang, L. / Qian, Q.-D. / Chan, K. K. / Toyama, N. / Hayashi, N. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 652
-
Simulation-based defect printability analysis on attenuated phase-shifting masksPang, Linyong / Qian, Qi-De / Chan, Kevin K. / Toyama, Nobuhito / Hayashi, Naoya et al. | 2002
- 660
-
Lithographic analysis of distributed photomask defects: II. Random mask CD errors [4754-53]Karklin, L. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 660
-
Lithographic analysis of distributed photomask defects: II. Random mask CD errorsKarklin, Linard et al. | 2002
- 666
-
Comparison and correlation of VSS simulation results using images from different inspection systems [4754-54]Hung, K. / Lin, D. / Chou, R. L. / Yang, S. C. / Lee, D. / Tseng, A. / Unno, H. / Chen, J.-H. / Huang, J. H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 666
-
Comparison and correlation of VSS simulation results using images from different inspection systemsHung, Kevin / Lin, Denis / Chou, Rex L. / Yang, Samuel C. / Lee, Don / Tseng, Alex / Unno, Hiromasa / Chen, Jiunn-Hung / Huang, Jason H. et al. | 2002
- 673
-
Assessment of mask quality assurance method of critical layers with high MEEFIshida, Hiroyuki / Tanaka, Michihide / Mizuma, Yasuhiro / Kitagawa, Tetuya / Ogura, Akihiro et al. | 2002
- 673
-
Assessment of mask quality assurance method of critical layers with high MEEF [4754-66]Ishida, H. / Tanaka, M. / Mizuma, Y. / Kitagawa, T. / Ogura, A. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 677
-
CD variations from nontrivial mask-related factorsMa, Z. Mark / Kim, Won D. / Rathsack, Benjamen M. / Xing, Guoqiang / Somervell, Mark H. / Hong, Hyesook et al. | 2002
- 677
-
CD variations from nontrivial mask-related factors [4754-114]Ma, Z. M. / Kim, W. D. / Rathsack, B. M. / Xing, G. / Somervell, M. H. / Hong, H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 684
-
Comparing photomask and wafer post-develop defect formationSmith, Adam / Aaskov, William A. / Knight, Stephen E. / Leidy, Robert K. / Watts, Andrew J. et al. | 2002
- 684
-
Comparing photomask and wafer post-develop defect formation [4754-92]Smith, A. / Aaskov, W. A. / Knight, S. E. / Leidy, R. K. / Watts, A. J. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 690
-
100-kV high-resolution e-beam lithography system: JBX-9300FSTakemura, Hitoshi / Ohki, Hirofumi / Isobe, Moriyuki et al. | 2002
- 690
-
100-kV high-resolution e-beam lithography system: JBX-9300FS [4754-110]Takemura, H. / Ohki, H. / Isobe, M. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 697
-
Solution for 100 nm: EBM-4000 [4754-112]Hattori, Y. / Hattori, K. / Murooka, K. / Abe, T. / Yasuda, S. / Uno, T. / Murakamai, E. / Nakayamada, N. / Shimomura, N. / Yamashita, T. et al. | 2002
- 697
-
Solution for 100 nm: EBM-4000Hattori, Yoshiaki / Hattori, Kiyoshi / Murooka, Ken-ichi / Abe, Takayuki / Yasuda, Satoshi / Uno, Taiga / Murakami, Eiji / Nakayamada, Noriaki / Shimomura, Naoharu / Yamashita, Ttsuyoshi et al. | 2002
- 705
-
Raster scan patterning solution for 100- and 70-nm OPC masks [4754-109]Abboud, F. E. / Baik, K.-H. / Chakarian, V. / Cole, D. M. / Dean, R. L. / Gesley, M. A. / Gillman, H. / Moore, W. C. / Mueller, M. / Naber, R. J. et al. | 2002
- 705
-
Raster scan patterning solution for 100- and 70-nm OPC masksAbboud, Frank E. / Baik, Ki-Ho / Chakarian, Varoujan / Cole, Damon M. / Dean, Robert L. / Gesley, Mark A. / Gillman, Herb / Moore, William C. / Mueller, Mark / Naber, Robert J. et al. | 2002
- 717
-
Integrating real-time CD corrections into a laser pattern generator [4754-108]Haddleton, S. / Ivansen, L. / Simecek, M. / Schnitker, U. / Stiblert, L. / Enzinger, M. / Rossl, W. / Sundqvist, M. / Kalus, C. K. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 717
-
Integrating real-time CD corrections into a laser pattern generatorHaddleton, Steven / Ivansen, Lars / Simecek, Michal / Schnitker, Uwe / Stiblert, Lars / Enzinger, Manfred / Roessl, Wolfgang / Sundqvist, Mats / Kalus, Christian K. et al. | 2002
- 727
-
Development of mask-making process for CLM manufacturing technology [4754-44]Park, J.-H. / Chung, D.-H. / Lee, M.-K. / Shin, I.-K. / Choi, S.-W. / Yoon, H.-S. / Sohn, J.-M. / Chen, J. F. / Van Den Broeke, D. J. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 727
-
Development of mask-making process for CLM manufacturing technologyPark, Jin-Hyung / Chung, Dong-Hoon / Lee, Man-Ki / Shin, In-Kyun / Choi, Seong-Woon / Yoon, Hee-Sun / Sohn, Jung-Min / Chen, J. Fung / Van Den Broeke, Douglas J. et al. | 2002
- 737
-
Development of reticle-free exposure method with LCD projection imageNakamura, Kazumitsu / Kubota, Hiroshi / Nakada, Akira / Inokuchi, Tsuneo / Kosaka, Kouji et al. | 2002
- 737
-
Development of reticle-free exposure method with LCD projection image [4754-43]Nakamura, K. / Kubota, H. / Nakada, A. / Inokuchi, T. / Kosaka, K. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 745
-
Photomask CD metrology at the 100-nm nodeAllsop, John / Johnson, Stephen / Demarteau, Marcel / Wismans, Onno et al. | 2002
- 745
-
Photomask CD metrology at the 100-nm node [4754-55]Allsop, J. / Johnson, S. / Demarteau, M. / Wismans, O. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 758
-
Next-generation mask metrology tool [4754-104]Schlueter, G. / Roeth, K.-D. / Blaesing-Bangert, C. / Ferber, M. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 758
-
Next-generation mask metrology toolSchlueter, Gerhard / Roeth, Klaus-Dieter / Blaesing-Bangert, Carola / Ferber, Michael et al. | 2002
- 769
-
Metrology methods comparison for 2D structures on binary and embedded attenuated phase-shift masksLassiter, Matthew / Eynon, Benjamin G. et al. | 2002
- 769
-
Metrology methods comparison for 2D structures on binary and embedded attenuated phase-shift masks [4754-56]Lassiter, M. / Eynon, B. G. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 775
-
EPL technology development (Invited Paper) [4754-106]Suzuki, K. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 775
-
EPL technology developmentSuzuki, Kazuaki et al. | 2002
- 790
-
EPL mask fabrication [4754-95]Lercel, M. J. / Williams, C. T. / Lawliss, M. / Ackel, R. / Kindt, L. / Fisch, E. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 790
-
EPL mask fabricationLercel, Michael J. / Williams, Carey T. / Lawliss, Mark / Ackel, Robin / Kindt, Louis / Fisch, Emily et al. | 2002
- 799
-
Impact of deformation of the edges of two complementary patterns on electron-beam projection lithography mask makingSano, Hisatake / Morimoto, Kenichi / Aritsuka, Yuuki / Fujita, Hiroshi et al. | 2002
- 799
-
Impact of deformation of the edges of two complementary patterns on electron-beam projection lithography mask making [4754-81]Sano, H. / Morimoto, K. / Aritsuka, Y. / Fujita, H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 805
-
EPL data conversion system EPLON [4754-97]Kato, K. / Nishizawa, K. / Haruki, T. / Inoue, T. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 805
-
EPL data conversion system EPLONKato, Kokoro / Nishizawa, Kuninori / Haruki, Tamae / Inoue, Tadao et al. | 2002
- 816
-
Method for generating complementary mask data for an EPL stencil mask using a commercial pattern operation tool [4754-80]Moniwa, A. / Murai, F. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 816
-
Method for generating complementary mask data for an EPL stencil mask using a commercial pattern operation toolMoniwa, Akemi / Murai, Fumio et al. | 2002
- 827
-
Imaging capability of low-energy electron-beam proximity projection lithography toward the 70-nm node [4754-82]Nakano, H. / Oguni, K. / Nohdo, S. / Koike, K. / Moriya, S. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 827
-
Imaging capability of low-energy electron-beam proximity projection lithography toward the 70-nm nodeNakano, Hiroyuki / Oguni, Kumiko / Nohdo, Shinichiro / Koike, Kaoru / Moriya, Shigeru et al. | 2002
- 837
-
New mask format for low-energy electron-beam proximity projection lithography [4754-96]Koike, K. / Omori, S. / Iwase, K. / Ashida, I. / Moriya, S. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 837
-
New mask format for low-energy electron-beam proximity projection lithographyKoike, Kaoru / Omori, Shinji / Iwase, Kazuya / Ashida, Isao / Moriya, Shigeru et al. | 2002
- 847
-
Data processing for LEEPL mask: splitting and placement correctionAshida, Isao / Omori, Shinji / Ohnuma, Hidetoshi et al. | 2002
- 847
-
Data processing for LEEPL mask: splitting and placement correction [4754-83]Ashida, I. / Omori, S. / Ohnuma, H. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 857
-
Process development of 6-in EUV mask with TaBN absorber [4754-94]Shoki, T. / Hosoya, M. / Kinoshita, T. / Kobayashi, H. / Usui, Y. / Ohkubo, R. / Ishibashi, S. / Nagarekawa, O. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 857
-
Process development of 6-in EUV mask with TaBN absorberShoki, Tsutomu / Hosoya, Morio / Kinoshita, Takeru / Kobayashi, Hideo / Usui, Youichi / Ohkubo, Ryo / Ishibashi, Shinichi / Nagarekawa, Osamu et al. | 2002
- 865
-
EUVL mask fabrication for the 45-nm nodeFisch, Emily / Kindt, Louis / Lercel, Michael J. / Racette, Kenneth C. / Williams, Carey T. et al. | 2002
- 865
-
EUVL mask fabrication for the 45-nm node [4754-76]Fisch, E. / Kindt, L. / Lercel, M. J. / Racette, K. C. / Williams, C. T. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 872
-
Application of vector scan electron-beam lithography to 45-nm node extreme-ultraviolet lithography reticlesWalker, David M. / Mathur, Dhirendra P. / Su, Clyde / Huang, Torey et al. | 2002
- 872
-
Application of vector scan electron-beam lithography to 45-nm node extreme-ultraviolet lithography reticles [4754-77]Walker, D. M. / Mathur, D. P. / Su, C. / Huang, T. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 880
-
Pattern printability for reflectance degradation of Mo/Si mask blanks in EUV lithographySugawara, Minoru / Ito, Masaaki / Chiba, Akira / Hoshino, Eiichi / Yamanashi, Hiromasa / Hoko, Hiromasa / Ogawa, Taro / Lee, Byoung T. / Yoneda, Takashi / Takahashi, Masashi et al. | 2002
- 880
-
Pattern printability for reflectance degradation of Mo/Si mask blanks in EUV lithography [4754-78]Sugawara, M. / Ito, M. / Chiba, A. / Hoshino, E. / Yamanashi, H. / Hoko, H. / Ogawa, T. / Lee, B. T. / Yoneda, T. / Takahashi, M. et al. | 2002
- 890
-
Modification of boundaries conditions in the FDTD algorithm for EUV mask modeling [4754-79]Vial, A. / Erdmann, A. / Schmoeller, T. / Kalus, C. K. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 890
-
Modification of boundaries conditions in the FDTD algorithm for EUV mask modelingVial, Alexandre / Erdmann, Andreas / Schmoeller, Thomas / Kalus, Christian K. et al. | 2002
- 900
-
Use of nanomachining for subtractive repair of EUV and other challenging mask defects [4754-84]Brinkley, D. / White, R. / Bozak, R. / Liang, T. / Liu, G. / Society of Photo-Optical Instrumentation Engineers et al. | 2002
- 900
-
Use of nanomachining for subtractive repair of EUV and other challenging mask defectsBrinkley, David / White, Roy / Bozak, Ron / Liang, Ted / Liu, Gang et al. | 2002