Zero-shrink dimension evaluated for ArF-resist patterns measured by CD-SEM [5038-101] (Englisch)
- Neue Suche nach: Kawada, H.
- Neue Suche nach: Iizumi, T.
- Neue Suche nach: Otaka, T.
- Neue Suche nach: SPIE
- Neue Suche nach: Kawada, H.
- Neue Suche nach: Iizumi, T.
- Neue Suche nach: Otaka, T.
- Neue Suche nach: Herr, D. J. C.
- Neue Suche nach: SPIE
In:
Metrology, inspection and process control for microlithography
;
861-865
;
2003
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Print
-
Titel:Zero-shrink dimension evaluated for ArF-resist patterns measured by CD-SEM [5038-101]
-
Beteiligte:
-
Kongress:Conference; 17th, Metrology, inspection and process control for microlithography ; 2003 ; Santa Clara, CA
-
Erschienen in:PROCEEDINGS- SPIE THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING ; 5038 ; 861-865
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:01.01.2003
-
Format / Umfang:5 pages
-
ISBN:
-
ISSN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Demonstration of imaging interferometric microscopy (IIM) [5038-02]Schwarz, C. J. / Kuznetsova, Y. / Brueck, S. R. J. / SPIE et al. | 2003
- 1
-
Demonstration of imaging interferometric microscopy (IIM)Schwarz, Christian J. / Kuznetsova, Yuliya / Brueck, Steven R. J. et al. | 2003
- 12
-
New laboratory EUV reflectometer for large optics using a laser plasma source [5038-03]van Loyen, L. / Bottger, T. / Braun, S. / Mai, H. / Leson, A. / Scholze, F. / Tummler, J. / Ulm, G. / Legall, H. / Nickles, P. V. et al. | 2003
- 12
-
New laboratory EUV reflectometer for large optics using a laser plasma sourcevan Loyen, Ludwig / Boettger, Thomas / Braun, Stefan / Mai, Hermann / Leson, Andreas / Scholze, Frank / Tuemmler, Johannes / Ulm, Gerhard / Legall, Herbert / Nickles, Peter V. et al. | 2003
- 22
-
Identification and quantitative analysis of contaminants found in photolithography purge gases [5038-04]Tram, A. / Spiegelman, J. J. / Holmes, R. R. / Alvarez, D. / Lev, D. / SPIE et al. | 2003
- 22
-
Identification and quantitative analysis of contaminants found in photolithography purge gasesTram, Allan / Spiegelman, Jeff J. / Holmes, Russell J. / Alvarez, Daniel / Lev, Dan et al. | 2003
- 33
-
Application of simulation-based defect printability analysis for mask qualification control [5038-05]Lu, J. / Lu, A. / Pang, L. / Lee, D. / Chen, J. / SPIE et al. | 2003
- 33
-
Application of simulation-based defect printability analysis at mask qualification controlLu, Jerry / Lu, Alex / Pang, Linyong / Lee, Don / Chen, Jiunn-Hung et al. | 2003
- 41
-
Concept of ultra-fast at-wavelength inspection of defects on a multilayer mask using a laser-produced plasma sourceTomie, Toshihisa / Terasawa, Tsuneo / Tezuka, Yoshihiro / Ito, Masaaki et al. | 2003
- 41
-
Concept of ultrafast at-wavelength inspection of defects on multilayer mask blanks using a laser-produced plasma source [5038-06]Tomie, T. / Terasawa, T. / Tezuka, Y. / Ito, M. / SPIE et al. | 2003
- 49
-
Comparison of pattern placement errors as measured using traditional overlay targets and design rule structuresLeray, Philippe / Laidler, David W. / Pollentier, Ivan K. et al. | 2003
- 49
-
Comparison of pattern placement errors as measured using traditional overlay targets and design rule structures [5038-07]Leray, P. / Laidler, D. W. / Pollentier, I. K. / SPIE et al. | 2003
- 61
-
Overlay metrology simulations: analytical and experimental validations [5038-08]Seligson, J. L. / Golovanevsky, B. / Poplawski, J. M. / Adel, M. E. / Silver, R. M. / SPIE et al. | 2003
- 61
-
Overlay metrology simulations: analytical and experimental validationsSeligson, Joel L. / Golovanevsky, Boris / Poplawski, Jorge M. / Adel, Michael E. / Silver, Richard M. et al. | 2003
- 70
-
An improved method to determine optimal alignment sampling layoutChang, Simon / DeMoor, Stephen J. / Brown, Jay M. / Atkinson, Chris / Roberge, Joshua A. et al. | 2003
- 70
-
An improved method to determine optimal alignment sampling layouts [5038-09]Chang, S. / DeMoor, S. J. / Brown, J. M. / Atkinson, C. / Roberge, J. A. / SPIE et al. | 2003
- 81
-
Energy flux method for inspection of contact and VIA layer reticlesGarcia, Hector I. / Volk, William W. / Xiong, Yalin / Watson, Sterling G. / Yu, Zongchang / Guo, Zhian / Wang, Lantian et al. | 2003
- 81
-
Energy flux method for inspection of contact and VIA layer reticles [5038-155]Garcia, H. I. / Volk, W. W. / Xiong, Y. / Watson, S. G. / Yu, Z. / Guo, Z. / Wang, L. / SPIE et al. | 2003
- 93
-
Evaluation of alignment target designs for Cu and low-K dual damascene processes [5038-11]Mukherjee-Roy, M. / Singh, N. / Mehta, S. S. / Chik, W. M. / Sim, C. T. / Cheong, F. / SPIE et al. | 2003
- 93
-
Evaluation of alignment target designs for Cu and low-K dual damascene processesMukherjee-Roy, Moitreyee / Singh, Navab / Mehta, Sohan S. / Chik, Wai M. / Sim, Chin Tiong / Cheong, Francis et al. | 2003
- 103
-
Calibration strategies for overlay and registration metrologySilver, Richard M. / Stocker, Michael T. / Attota, Ravikiran / Bishop, Michael / Jun, Jau-Shi J. / Marx, Egon / Davidson, Mark P. / Larrabee, Robert D. et al. | 2003
- 103
-
Callbration strategies for overlay and registration metrology [5038-12]Silver, R. M. / Stocker, M. T. / Attota, R. / Bishop, M. / Jun, J.-J. / Marx, E. / Davidson, M. P. / Larrabee, R. D. / SPIE et al. | 2003
- 121
-
Overlay considerations for 300-mm lithography [5038-13]Mono, T. / Schroder, U. P. / Nees, D. / Palitzsch, K. / Kostler, W. / Bruch, J. / Kramp, S. / Veldkamp, M. / Schuster, R. / SPIE et al. | 2003
- 121
-
Overlay considerations for 300-mm lithographyMono, Tobias / Schroeder, Uwe P. / Nees, Dieter / Palitzsch, Katrin / Koestler, Wolfram / Bruch, Jens / Kramp, Sirko / Veldkamp, Markus / Schuster, Ralf et al. | 2003
- 126
-
Scatterometry-based overlay metrologyHuang, Hsu-Ting / Raghavendra, Gayathri / Sezginer, Apo / Johnson, Kenneth / Stanke, Fred E. / Zimmerman, Michelle L. / Cheung, Cristina / Miyagi, Makoto / Singh, Bhanwar et al. | 2003
- 126
-
Scatterometry-based overlay metrology [5038-132]Huang, H.-T. / Raghavendra, G. / Sezginer, A. / Johnson, K. / Stanke, F. E. / Zimmerman, M. L. / Cheung, C. / Miyagi, M. / Singh, B. / SPIE et al. | 2003
- 138
-
Simulation study of repeatability and bias in the CD-SEM (Invited Paper) [5038-14]Villarrubia, J. S. / Vladar, A. E. / Postek, M. T. / SPIE et al. | 2003
- 138
-
Simulation study of repeatability and bias in the CD-SEMVillarrubia, John S. / Vladar, Andras E. / Postek, Michael T. et al. | 2003
- 150
-
Implementation of Reference Measurement System using CD-AFMDixson, Ronald G. / Guerry, Angela / Bennett, Marylyn H. / Vorburger, Theodore V. / Bunday, Benjamin D. et al. | 2003
- 150
-
Implementation of reference measurement system using CD-AFM [5038-15]Dixson, R. G. / Guerry, A. / Bennett, M. H. / Vorburger, T. V. / Bunday, B. D. / SPIE et al. | 2003
- 166
-
Characterization of charging in CD-SEM for 90-nm metrology and beyond [5038-16]Chen, L.-J. / Lin, S.-W. / Gau, T.-S. / Lin, B. J. / SPIE et al. | 2003
- 166
-
Characterization of charging in CD-SEM for 90-nm metrology and beyondChen, Li-Jui / Lin, Shang-Wei / Gau, Tsai-Sheng / Lin, Burn J. et al. | 2003
- 177
-
Aerial image-based mask inspection: a development effort to detect what might impact printing image quality on wafersLiebe, Roman / Haffner, Henning / Hemar, Shirley / Rosenbusch, Anja / Chen, Jerry X. / Kalk, Franklin D. et al. | 2003
- 177
-
Aerial image-based mask inspection: a development effort to detect what might impact printing image quality on wafers [5038-17]Liebe, R. / Haffner, H. / Hemar, S. / Rosenbusch, A. / Chen, J. X. / Kalk, F. D. / SPIE et al. | 2003
- 185
-
FTIR-based nondestructive method for metrology of depths in polysilicon-filled trenches [5038-18]Zaidi, S. H. / Stojakovic, G. / Gutmann, A. / Bozdog, C. / Mantz, U. / Charpenay, S. B. / Rosenthal, P. A. / SPIE et al. | 2003
- 185
-
FTIR based nondestructive method for metrology of depths in poly silicon-filled trenchesZaidi, Shoaib H. / Stojakovic, George / Gutmann, Alois / Bozdog, Cornel / Mantz, Ulrich / Bosch Charpenay, Sylvie / Rosenthal, Peter A. et al. | 2003
- 191
-
Subnanometer wavelength metrology of lithographically prepared structures: a comparison of neutron and X-ray scattering [5038-19]Jones, R. L. / Hu, T. / Lin, E. K. / Wu, W. / Casa, D. M. / Orji, N. G. / Vorburger, T. V. / Bolton, P. J. / Barclay, G. G. / SPIE et al. | 2003
- 191
-
Subnanometer wavelength metrology of lithographically prepraed structures: a comparison of neutron and X-ray scattering.Jones, Ronald L. / Hu, Tengjiao / Lin, Eric K. / Wu, Wen-li / Casa, Diego M. / Orji, N. G. / Vorburger, Theodore V. / Bolton, Patrick J. / Barclay, George G. et al. | 2003
- 200
-
Novel diffraction-based spectroscopic method for overlay metrology [5038-20]Yang, W. / Lowe-Webb, R. / Rabello, S. / Hu, J. / Lin, J.-Y. / Heaton, J. D. / Dusa, M. V. / Boef, A. J. d. / van der Schaar, M. / Hunter, A. et al. | 2003
- 200
-
Novel diffraction-based spectroscopic method for overlay metrologyYang, Weidong / Lowe-Webb, Roger / Rabello, Silvio / Hu, Jiangtao / Lin, Je-Yi / Heaton, John D. / Dusa, Mircea V. / den Boef, Arie J. / van der Schaar, Maurits / Hunter, Adolph et al. | 2003
- 208
-
UV scatterometry [5038-21]Logofatu, P. C. / SPIE et al. | 2003
- 208
-
UV scatterometryLogofatu, Petre Catalin et al. | 2003
- 215
-
Improved gate process control at the 130-nm node using spectroscopic-ellipsometry-based profile metrology [5038-22]Hodges, J. S. / Lin, Y.-L. / Burrows, D. R. / Chiao, R. H. / Peters, R. M. / Rangarajan, S. / Bhatia, K. N. / Lakkapragada, S. / SPIE et al. | 2003
- 215
-
Improved gate process control at the 130-nm node using spectroscopic-ellipsometry-based profile metrologyHodges, J. Scott / Lin, Yu-Lun C. / Burrows, Dale R. / Chiao, Ray H. / Peters, Robert M. / Rangarajan, Srinivasan / Bhatia, Kamal N. / Lakkapragada, Suresh et al. | 2003
- 224
-
Scatterometry measurement precision and accuracy below 70 nmSendelbach, Matthew / Archie, Charles N. et al. | 2003
- 224
-
Scatterometry measurement precision and accuracy below 70 nm [5038-23]Sendelbach, M. / Archie, C. N. / SPIE et al. | 2003
- 239
-
Run-to-run CD error analysis and control with monitoring of effective dose and focus [5038-24]Asano, M. / Fujisawa, T. / Izuha, K. / Inoue, S. / SPIE et al. | 2003
- 239
-
Run-to-run CD error analysis and control monitoring of effective dose and focusAsano, Masafumi / Fujisawa, Tadahito / Izuha, Kyoko / Inoue, Soichi et al. | 2003
- 247
-
Simultaneous dose and focus monitoring on product wafers [5038-25]Eichelberger, B. J. / Dinu, B. / Pedut, H. / SPIE et al. | 2003
- 247
-
Simultaneous dose and focus monitoring on product wafersEichelberger, Brad J. / Dinu, Berta / Pedut, H. et al. | 2003
- 255
-
Enhancing yield and productivity with process control applications for contact and via module [5038-26]Majoni, S. / Englard, I. / SPIE et al. | 2003
- 255
-
Enhancing yield and productivity with process control applications for contact and via moduleMajoni, Stefan / Englard, Ilan et al. | 2003
- 264
-
Spectroscopic ellipsometry for lithography front-end level CD control: a complete analysis for production integration [5038-27]Herisson, D. / Neira, D. / Fernand, C. / Thony, P. / Henry, D. / Kremer, S. / Polli, M. / Guevremont, M. / Elazami, A. / SPIE et al. | 2003
- 264
-
Spectroscopic ellipsometry for lithography front-end level CD control: a complete analysis for production integrationHerisson, David / Neira, DaniEle / Fernand, Cyril / Thony, Philippe / Henry, Daniel / Kremer, Stephanie / Polli, Marco / Guevremont, Marco / Elazami, Assim et al. | 2003
- 274
-
Spectroscopic ellipsometry-based scatterometry enabling 193-nm Litho and Etch process control for the 110nm technology node and beyond [5038-28]Hingst, T. / Marschner, T. / Moert, M. / Homilius, J. / Guevremont, M. / Hopkins, J. / Elazami, A. / SPIE et al. | 2003
- 274
-
Spectroscopic Ellipsometry based Scatterometry enabling 193nm Litho and Etch process control for the 110nm technology node and beyondHingst, Thomas / Marschner, Thomas / Moert, Manfred / Homilius, Jan / Guevremont, Marco / Hopkins, John / Elazami, Assim et al. | 2003
- 286
-
Challenges of image placement and overlay at the 90-nm and 65-nm nodesTrybula, Walter J. et al. | 2003
- 286
-
Challenges of image placement and overlay at the 90-nm and 65-nm nodes [5038-29]Trybula, W. J. / SPIE et al. | 2003
- 293
-
Simulation of repairing thin-film phase defect in masks for EUV lithographyKo, Yeong-Uk / Joy, David C. / Hector, Scott D. / Lu, Bing et al. | 2003
- 293
-
Simulation of repairing thin-film phase defect in masks for EUV lithography [5038-30]Ko, Y.-U. / Joy, D. C. / Hector, S. D. / Lu, B. / SPIE et al. | 2003
- 303
-
Optimizing reticle inspection for the X architecture [5038-31]Aquino, C. M. / SPIE et al. | 2003
- 303
-
Optimizing reticle inspection for the X architectureAquino, Christopher M. et al. | 2003
- 315
-
Potentials for high pressure/environmental SEM microscopy for photomask dimensional metrology [5038-32]Postek, M. T. / Vladar, A. E. / Rice, T. M. / Knowles, R. / SPIE et al. | 2003
- 315
-
Potentials for high pressure/environmental SEM microscopy for photomask dimensional metrologyPostek, Michael T. / Vladar, Andras E. / Rice, Trisha M. / Knowles, Ralph et al. | 2003
- 330
-
A new methodology to specify via and contact layer reticles for maximizing process latitude [5038-33]Strozewski, K. J. / Perez, J. / Vacca, A. / Klaum, A. D. / Brankner, K. J. / SPIE et al. | 2003
- 330
-
A new methodology to specify via and contact layer reticles for maximizing process latitudeStrozewski, Kirk J. / Perez, Joe / Vacca, Anthony / Klaum, Arthur D. / Brankner, Keith J. et al. | 2003
- 338
-
Updated NIST photomask linewidth standard [5038-34]Potzick, J. E. / Pedulla, J. M. / Stocker, M. T. / SPIE et al. | 2003
- 338
-
Updated NIST photomask linewidth standardPotzick, James E. / Pedulla, J. M. / Stocker, Michael T. et al. | 2003
- 350
-
Electrical linewidth metrology for systematic CD variation characterization and causal analysis [5038-35]Cain, J. P. / Spanos, C. J. / SPIE et al. | 2003
- 350
-
Electrical linewidth metrology for systematic CD variation characterization and causal analysisCain, Jason P. / Spanos, Costas J. et al. | 2003
- 362
-
Implementation and benefits of advanced process control for lithography CD and overlay [5038-36]Zavyalova, L. / Fu, C.-C. / Seligman, G. S. / Tapp, P. A. / Pol, V. / SPIE et al. | 2003
- 362
-
Implementation and benefits of advanced process control for lithography CD and overlayZavyalova, Lena / Fu, Chong-Cheng / Seligman, Gary S. / Tapp, Perry A. / Pol, Victor et al. | 2003
- 373
-
Lithography and metrology overlay troubleshooting by advanced query and multivariate analysisSchulz, Bernd / Krause, Jens / Robinson, John C. / MacNaughton, Craig W. et al. | 2003
- 373
-
Lithography and metrology overlay troubleshooting by advanced query and multivariate analysis [5038-37]Schulz, B. / Krause, J. / Robinson, J. C. / MacNaughton, C. W. / SPIE et al. | 2003
- 383
-
Quantitative profile-shape measurement study on a CD-SEM with application to etch-bias control and several different CMOS features [5038-38]Bunday, B. D. / Bishop, M. / Swyers, J. R. / Lensing, K. R. / SPIE et al. | 2003
- 383
-
Quantitative profile-shape measurement study on a CD-SEM with application to etch-bias control and several different CMOS featuresBunday, Benjamin D. / Bishop, Michael / Swyers, John R. / Lensing, Kevin R. et al. | 2003
- 396
-
Improved model for focus-exposure data analysis [5038-39]Mack, C. A. / Byers, J. D. / SPIE et al. | 2003
- 396
-
New model for focus-exposure data analysisMack, Chris A. / Byers, Jeff D. et al. | 2003
- 406
-
CD control at low K1 optical lithography in DRAM device [5038-40]Hong, J. / Woo, C. / Park, J. / Cho, B. / Choi, J.-S. / Yang, H. / Park, C. / Shin, Y.-C. / Kim, Y. / Jeong, G. et al. | 2003
- 406
-
CD control at low K1 optical lithography in DRAM deviceHong, Jongkyun / Woo, Chongsik / Park, Jaewoo / Cho, Byeong-ho / Choi, Jaeseung / Yang, Hyunjo / Park, Chan-ha / Shin, Yong-chul / Kim, Youngdea / Jeong, Goomin et al. | 2003
- 415
-
Optimization of align marks and overlay targets in VIA first dual damascene process [5038-41]Shin, D.-U. / Jeong, Y.-B. / Park, J.-L. / Choi, J.-S. / Lee, J.-G. / Lee, D.-H. / SPIE et al. | 2003
- 415
-
Optimization of align marks and overlay targets in VIA first dual damascene processShin, Dae-Ung / Jeong, Young-Bae / Park, Jeong-Lyeol / Choi, Jae-Sung / Lee, Jeong-Gun / Lee, Dae-Hoon et al. | 2003
- 428
-
New method to enhance overlay tool performance [5038-42]Attota, R. / Silver, R. M. / Stocker, M. T. / Marx, E. / Jun, J.-S. J. / Davidson, M. P. / Larrabee, R. D. / SPIE et al. | 2003
- 428
-
New method to enhance overlay tool performanceAttota, Ravikiran / Silver, Richard M. / Stocker, Michael T. / Marx, Egon / Jun, Jau-Shi J. / Davidson, Mark P. / Larrabee, Robert D. et al. | 2003
- 437
-
Characterization of overlay mark fidelity [5038-43]Adel, M. E. / Ghinovker, M. / Poplawski, J. M. / Kassel, E. / Izikson, P. / Pollentier, I. K. / Leray, P. / Laidler, D. W. / SPIE et al. | 2003
- 437
-
Characterization of overlay mark fidelityAdel, Mike / Ghinovker, Mark / Poplawski, Jorge M. / Kassel, Elyakim / Izikson, Pavel / Pollentier, Ivan K. / Leray, Philippe / Laidler, David W. et al. | 2003
- 445
-
New criterion about the topography of W-CMP wafer's alignment mark [5038-156]Ina, H. / Matsumoto, T. / Sentoku, K. / Matsuyama, K. / Katagiri, K. / SPIE et al. | 2003
- 445
-
New criterion about the topography of W-CMP wafer's alignment markIna, Hideki / Matsumoto, Takahiro / Sentoku, Koichi / Matsuyama, Katsuhiro / Katagiri, Kazuhiko et al. | 2003
- 453
-
Performance study of new-segmented overlay marks for advanced wafer processing [5038-45]Adel, M. E. / Allgair, J. A. / Benoit, D. C. / Ghinovker, M. / Kassel, E. / Nelson, C. / Robinson, J. C. / Seligman, G. S. / SPIE et al. | 2003
- 453
-
Performance study of new segmented overlay marks for advanced wafer processingAdel, Mike / Allgair, John A. / Benoit, David C. / Ghinovker, Mark / Kassel, Elyakim / Nelson, C. / Robinson, John C. / Seligman, Gary S. et al. | 2003
- 464
-
Characterization of photoresist spatial resolution by interferometric lithographyHoffnagle, John A. / Hinsberg, William D. / Houle, Frances A. / Sanchez, Martha I. et al. | 2003
- 464
-
Characterization of photoresist spatial resolution by interferometric lithography [5038-46]Hoffnagle, J. A. / Hinsberg, W. D. / Houle, F. A. / Sanchez, M. I. / SPIE et al. | 2003
- 473
-
Calibration and validation of projection lithography in chemically amplified resist systems using fluorescence imaging [5038-47]Mason, M. D. / Ray, K. / Feke, G. D. / Grober, R. D. / Pohlers, G. / Cameron, J. F. / SPIE et al. | 2003
- 473
-
Calibration and validation of projection lithography in chemically amplified resist systems using fluorescence imagingMason, Michael D. / Ray, Krishanu / Feke, Gilbert D. / Grober, Robert D. / Pohlers, Gerd / Cameron, James F. et al. | 2003
- 483
-
Electron beam metrology of 193-nm resists at ultralow voltage [5038-48]Sullivan, N. T. / Dixson, R. / Bunday, B. D. / Mastovich, M. E. / Knutrud, P. C. / Fabre, P. / Brandom, R. / SPIE et al. | 2003
- 483
-
Electron beam metrology of 193-nm resists at ultralow voltageSullivan, Neal T. / Dixson, Ron / Bunday, Benjamin D. / Mastovich, Martin E. / Knutrud, Paul C. / Fabre, Pascal / Brandom, Robert et al. | 2003
- 493
-
Making carbon nanotube probes for high aspect ratio scanning probe metrologyEmirov, Yusuf N. / Beerbom, M. / Walters, Deron A. / Ren, Z. F. / Huang, Z. P. / Rossie, Benjamin B. / Schlaf, Rudy et al. | 2003
- 493
-
Making carbon nanotube probes for high aspect ratio scanning probe metrology [5038-49]Emirov, Y. N. / Beerbom, M. / Walters, D. A. / Ren, Z. F. / Huang, Z. P. / Rossie, B. B. / Schlaf, R. / SPIE et al. | 2003
- 496
-
Real-time optical CD metrology for litho processOpsal, Jon L. / Wen, Youxian / Lee, Joungchel / Smith, Walter L. et al. | 2003
- 496
-
Real-time optical CD metrology for litho process [5038-50]Opsal, J. L. / Wen, Y. / Lee, J. / Smith, W. L. / SPIE et al. | 2003
- 508
-
New way of handling dimensional measurement results for integrated circuit technology [5038-52]Vladar, A. E. / Villarrubia, J. S. / Postek, M. T. / SPIE et al. | 2003
- 508
-
New way of handling dimensional measurement results for integrated circuit technologyVladar, Andras E. / Villarrubia, John S. / Postek, Michael T. et al. | 2003
- 518
-
Characterizing and understanding stray tilt: the next major contributor to CD-SEM tool matching [5038-53]Solecky, E. P. / Archie, C. N. / Mayer, J. / Cornell, R. S. / Adan, O. / SPIE et al. | 2003
- 518
-
Characterizing and understanding stray tilt: the next major contributor to CD SEM tool matchingSolecky, Eric P. / Archie, Charles N. / Mayer, Jason / Cornell, Roger S. / Adan, Ofer et al. | 2003
- 528
-
Nano precision AFM imaging by stereo deconvolution: theory; applications, and experimental validationAumond, Bernardo D. / Youcef-Toumi, Kamal et al. | 2003
- 528
-
Nanoprecision AFM imaging by stereo deconvolution: theory, applications, and experimental validation [5038-54]Aumond, B. D. / Youcef-Toumi, K. / SPIE et al. | 2003
- 540
-
New method for the quantitative evaluation of wafer pattern shape based on CAD data [5038-55]Matsuoka, R. / Takahashi, M. / Uemoto, A. / SPIE et al. | 2003
- 540
-
New method for the quantitative evaluation of wafer pattern shape based on CAD dataMatsuoka, Ryoichi / Takahashi, Masanori / Uemoto, Atsushi et al. | 2003
- 547
-
Accuracy limitations in specular-mode optical topography extractionTerry, Fred L. et al. | 2003
- 547
-
Accuracy limitations in specular-mode optical topography extraction [5038-58]Terry, F. L. / SPIE et al. | 2003
- 559
-
Scatterometry as a practical in-situ metrology technologyBarouch, Eytan / Knodle, Stephen L. et al. | 2003
- 559
-
Scatterometry as a practical in-situ metrology technology [5038-59]Barouch, E. / Knodle, S. L. / SPIE et al. | 2003
- 568
-
Application of scatterometry for CD and profile metrology in 193-nm lithography process development [5038-60]Chen, L.-J. / Ke, C.-M. / Yu, S.-S. / Gau, T.-H. / Chen, P. / Ku, Y.-C. / Lin, B. J. / Engelhard, D. / Hetzer, D. / Yang, J. Y. et al. | 2003
- 568
-
Application of scatterometry for CD and profile metrology in 193-nm lithography process developmentChen, Li-Jui / Ke, Chih-Ming / Yu, Shinn Sheng / Gau, Tsai-Sheng / Chen, Pei-Hung / Ku, Yao Ching / Lin, Burn J. / Engelhard, Dan / Hetzer, Dave / Yang, Jason Y. et al. | 2003
- 577
-
Applications of angular scatterometry for the measurement of multiply periodic features [5038-61]Raymond, C. J. / Littau, M. E. / Youn, B. / Sohn, C.-J. / Kim, J. A. / Kang, Y. S. / SPIE et al. | 2003
- 577
-
Applications of angular scatterometry for the measurement of multiply periodic featuresRaymond, Christopher J. / Littau, Michael E. / Youn, Byoungjoo J. / Sohn, Chang-Jin / Kim, Jin A. / Kang, Young S. et al. | 2003
- 585
-
Critical dimension metrology for sub-150-nm lithographic films using real-time scatterometryAnderson, Michael J. et al. | 2003
- 585
-
Critical dimension metrology for sub-150-nm lithographic films using real-time scatterometry [5038-62]Anderson, M. J. / SPIE et al. | 2003
- 597
-
Contact hole inspection by real-time optical CD metrologyOpsal, Jon L. / Chu, Hanyou / Wen, Youxian / Li, Guangwei / Chang, Yia-Chung et al. | 2003
- 597
-
Contact hole inspection by real-time optical CD metrology [5038-63]Opsal, J. L. / Chu, H. / Wen, Y. / Li, G. / Chang, Y. C. / SPIE et al. | 2003
- 608
-
193-nm resist: ultralow voltage CD-SEM performance for sub-130-nm contact hole process [5038-64]Ferri, J. E. / Vieira, M. / Reybrouck, M. / Mastovich, M. E. / Bowdoin, S. / Brandom, R. / Knutrud, P. C. / SPIE et al. | 2003
- 608
-
193-nm resist: ultralow voltage CD-SEM performance for sub-130-nm contact hole processFerri, John E. / Vieira, Marco / Reybrouck, Mario / Mastovich, Martin E. / Bowdoin, Scott / Brandom, Robert / Knutrud, Paul C. et al. | 2003
- 618
-
CD-SEM image acquisition effects on 193-nm resists line slimmingSullivan, Neal T. / Mastovich, Martin E. / Bowdoin, Scott / Brandom, Robert et al. | 2003
- 618
-
CD-SEM image acquisition effects on 193-nm resist line slimming [5038-65]Sullivan, N. T. / Mastovich, M. E. / Bowdoin, S. / Brandom, R. / SPIE et al. | 2003
- 624
-
Cross-sectional gate feature identification method using top-down SEM imagesTanaka, Maki / Shishido, Chie / Takagi, Yuji / Morokuma, Hidetoshi / Komuro, Osamu / Mori, Hiroyoshi et al. | 2003
- 624
-
Cross-sectional gate feature identification method using top-down SEM images (Invited Paper) [5038-66]Tanaka, M. / Shishido, C. / Takagi, Y. / Morokuma, H. / Komuro, O. / Mori, H. / SPIE et al. | 2003
- 636
-
New atomic force microscope method for critical dimension metrologyMorimoto, Takafumi / Shinaki, Toru / Kembo, Yukio / Hosaka, Sumio et al. | 2003
- 636
-
New atomic force microscope method for critical dimension metrology [5038-67]Morimoto, T. / Shinaki, T. / Kembo, Y. / Hosaka, S. / SPIE et al. | 2003
- 644
-
Effect of bias variation on total uncertainty of CD measurementsUkraintsev, Vladimir A. et al. | 2003
- 644
-
Effect of bias variation on total uncertainty of CD measurements [5038-68]Ukraintsev, V. A. / SPIE et al. | 2003
- 651
-
Accuracy in CD-SEM metrologyNikitin, Arkady V. / Sicignano, Albert / Yeremin, Dmitriy Y. / Sandy, Matthew / Goldburt, E. Tim et al. | 2003
- 651
-
Accuracy in CD-SEM metrology [5038-69]Nikitin, A. V. / Sicignano, A. / Yeremin, D. Y. / Sandy, M. / Goldburt, E. T. / SPIE et al. | 2003
- 663
-
Top-down versus cross-sectional SEM metrology and its impact on lithography simulation calibrationJones, Robert L. / Byers, Jeff D. / Conley, Will et al. | 2003
- 663
-
Top-down versus cross-sectional SEM metrology and its impact on lithography simulation calibration [5038-70]Jones, R. L. / Byers, J. D. / Conley, W. / SPIE et al. | 2003
- 674
-
CD-SEM measurement line-edge roughness test patterns for 193-nm lithography [5038-71]Bunday, B. D. / Bishop, M. / Villarrubia, J. S. / Vladar, A. E. / SPIE et al. | 2003
- 674
-
CD-SEM measurement line-edge roughness test patterns for 193-nm lithographyBunday, Benjamin D. / Bishop, Michael / Villarrubia, John S. / Vladar, Andras E. et al. | 2003
- 689
-
Characterization of line-edge roughness in resist patterns and estimations of its effect on device performance [5038-72]Yamaguchi, A. / Tsuchiya, R. / Fukuda, H. / Komuro, O. / Kawada, H. / Iizumi, T. / SPIE et al. | 2003
- 689
-
Characterization of line-edge roughness in resist patterns and estimations of its effect on device performanceYamaguchi, Atsuko / Tsuchiya, Ryuta / Fukuda, Hiroshi / Komuro, Osamu / Kawada, Hiroki / Iizumi, Takashi et al. | 2003
- 699
-
New apparent beam width artifact and measurement methodology for CD-SEM resolution monitoring [5038-73]Mayer, J. A. / Huizenga, K. J. / Solecky, E. P. / Archie, C. N. / Banke, G. W. / Cogley, R. M. / Nathan, C. / Robert, J. M. / SPIE et al. | 2003
- 699
-
New apparent beam width artifact and measurement methodology for CD-SEM resolution monitoringMayer, Jason A. / Huizenga, Kylee J. / Solecky, Eric P. / Archie, Charles N. / Banke, G. W. / Cogley, Robert M. / Nathan, Claudine / Robert, James M. et al. | 2003
- 711
-
NIST-traceable calibration of CD-SEM magnification using a 100-nm pitch standard [5038-74]Tortonese, M. / Guan, Y. / Prochazka, J. / SPIE et al. | 2003
- 711
-
NIST-traceable calibration of CD-SEM magnification using a 100-nm pitch standardTortonese, Marco / Guan, Yu / Prochazka, Jerry et al. | 2003
- 719
-
Microscope illumination systems for 157 nmPesch, Alexander / Uhlendorf, Kristina / Deparnay, Arnaud / Erdmann, Lars / Kuschnerus, Peter / Engel, Thomas / Brunner, Robert et al. | 2003
- 719
-
Microscope illumination systems for 157 nm [5038-75]Pesch, A. / Uhlendorf, K. / Deparnay, A. / Erdmann, L. / Kuschnerus, P. / Engel, T. / Brunner, R. / SPIE et al. | 2003
- 726
-
Portable phase measuring interferometer using Shack-Hartmann methodFujii, Toru / Kougo, Jun / Mizuno, Yasushi / Ooki, Hiroshi / Hamatani, Masato et al. | 2003
- 726
-
Portable phase measuring interferometer using Shack-Hartmann method [5038-77]Fujii, T. / Kougo, J. / Mizuno, Y. / Ooki, H. / Hamatani, M. / SPIE et al. | 2003
- 733
-
Image stepper: high-resolution image processing using distributed computing [5038-79]Tympel, V. / Witt, R. / Layland, S. / SPIE et al. | 2003
- 733
-
Image stepper: high-resolution image processing using distributed computingTympel, Volker / Witt, Roberto / Layland, Shannon et al. | 2003
- 741
-
Protecting reticles from contamination using SMIF technologies [5038-81]Zhu, S.-B. / SPIE et al. | 2003
- 741
-
Protecting reticles from contamination using SMIF technologiesZhu, Sheng-Bai et al. | 2003
- 748
-
Resist and silicon trench array line width measurement simulations for the next-generation semiconductor circuits by optical scattering properties using the FDTD methodShirasaki, Hirokimi / Ueta, Kunio / Kondou, Noriyuki et al. | 2003
- 748
-
Resist and silicon trench array line width measurement simulations for the next-generation semiconductor circuits by optical scattering properties using the FDTD method [5038-82]Shirasaki, H. / Ueta, K. / Kondou, N. / SPIE et al. | 2003
- 757
-
First review of a suitable metrology framework for the 65-nm technology node [5038-83]Severgnini, E. / Vasconi, M. / Herisson, D. / Thony, P. / SPIE et al. | 2003
- 757
-
First review of a suitable metrology framework for the 65-nm technology nodeSevergnini, Ermes / Vasconi, Mauro / Herisson, David / Thony, Philippe et al. | 2003
- 769
-
Total process control of alignment and overlay for metal layerZhou, Wenzhan / Li, ZhiQiang / Ng, Luke K. C. / Ng, Teng H. / Lim, Hui Kow et al. | 2003
- 769
-
Total process control of alignment and overlay for metal layer [5038-84]Zhou, W. / Li, Z. / Ng, L. K. C. / Ng, T. H. / Lim, H. K. / SPIE et al. | 2003
- 781
-
Simulation study of process control by multistructure CD measurementZhou, Wenzhan / Ng, Luke K. C. / Yap, Carol et al. | 2003
- 781
-
Simulation study of process control by multistructure CD measurement [5038-85]Zhou, W. / Ng, L. K. C. / Yap, C. / SPIE et al. | 2003
- 793
-
Benchmarking of current generation overlay systems at the 130-nm technology node [5038-87]Russo, B. / Bishop, M. / SPIE et al. | 2003
- 793
-
Benchmarking of current generation overlay systems at the 130-nm technology nodeRusso, Beth / Bishop, Michael et al. | 2003
- 803
-
Measurement of the dielectric function spectra of low dielectric constant using spectroscopic ellipsometry [5038-89]Horie, M. / Postava, K. / Yamaguchi, T. / Akashika, K. / Hayashi, H. / Kitamura, F. / SPIE et al. | 2003
- 803
-
Measurement of the dielectric function spectra of low dielectric constant using the spectroscopic ellipsometryHorie, Masahiro / Postava, Kamil / Yamaguchi, Tomuo / Akashika, Kumiko / Hayashi, Hideki / Kitamura, Fujikazu et al. | 2003
- 810
-
Investigation of model OPC optimization based on CD uniformity yieldRoy, Sabita / Chen, J. Fung / Liebchen, Armin / Laidig, Thomas L. / Wampler, Kurt E. / Hollerbach, Uwe et al. | 2003
- 810
-
Investigation of model OPC optimization based on CD uniformity yield [5038-90]Roy, S. / Chen, J. F. / Liebchen, A. / Laidig, T. L. / Wampler, K. E. / Hollerbach, U. / SPIE et al. | 2003
- 817
-
Optimization of developing uniformity by resist thickness measurement [5038-92]Zhou, W. / Ng, L. K. C. / Yap, C. / SPIE et al. | 2003
- 817
-
Optimization of developing uniformity by resist thickness measurementZhou, Wenzhan / Ng, Luke K. C. / Yap, Carol et al. | 2003
- 823
-
Resist compacting under SEM E-BeamGu, Yiming / Chou, Dyiann / Sturtevant, John L. et al. | 2003
- 823
-
Resist compacting under SEM E-Beam [5038-93]Gu, Y. / Chou, D. / Sturtevant, J. L. / SPIE et al. | 2003
- 832
-
Single wafer process to generate reliable swing curves [5038-95]Gu, Y. / Zhu, C. / Sturtevant, J. L. / SPIE et al. | 2003
- 832
-
Single wafer process to generate reliable swingGu, Yiming / Zhu, Cynthia / Sturtevant, John L. et al. | 2003
- 841
-
Use of rotating compensator spectroscoic ellipsometry for monitoring the photoresist etching on Si waferChoi, Yong-Seok / Kim, Yun-Hwan / Kim, Gon-Ho / Oh, Hye-Keun / An, Ilsin et al. | 2003
- 841
-
Use of rotating compensator spectroscopic ellipsometry for monitoring the photoresist etching on Si wafer [5038-98]Choi, Y.-S. / Kim, Y.-H. / Kim, G.-H. / Oh, H.-K. / An, I. / SPIE et al. | 2003
- 849
-
New method to reduce alignment error by optical systemNagayama, Tadashi / Nakajima, Shinichi / Sugaya, Ayako / Kanaya, Yuho / Sukegawa, Ayako et al. | 2003
- 849
-
New method to reduce alignment error by optical system [5038-100]Nagayama, T. / Nakajima, S. / Sugaya, A. / Kanaya, Y. / Sukegawa, A. / SPIE et al. | 2003
- 861
-
Zero-shrink dimension evaluated for ArF-resist patterns measured by CD-SEMKawada, Hiroki / Iizumi, Takashi / Otaka, Tadashi et al. | 2003
- 861
-
Zero-shrink dimension evaluated for ArF-resist patterns measured by CD-SEM [5038-101]Kawada, H. / Iizumi, T. / Otaka, T. / SPIE et al. | 2003
- 866
-
Design and development of a novel actinic inspection tool for EUV multilayer-coated mask blanks [5038-102]Tezuka, Y. / Ito, M. / Terasawa, T. / Tomie, T. / SPIE et al. | 2003
- 866
-
Design and development of a novel actinic inspection tool for EUV multilayer-coated mask blanksTezuka, Yoshihiro / Ito, Masaaki / Terasawa, Tsuneo / Tomie, Toshihisa et al. | 2003
- 878
-
CMP and self-shadowing effect of overlay mark in metal sputtering process [5038-103]Park, S.-J. / Kim, H.-L. / Lee, Y.-S. / Yang, W.-S. / SPIE et al. | 2003
- 878
-
CMP and self-shadowing effect of overlay mark in metal sputtering processPark, Se-Jin / Kim, Hong-Rae / Lee, Yong-Suk / Yang, Won-Sik et al. | 2003
- 883
-
Characterization of transparent quarter micron lines by spectral measurements with visible lightTriebel, Peter / Weissbrodt, Peter / Nolte, Stefan / Tuennermann, Andreas et al. | 2003
- 883
-
Characterization of transparent quarter micron lines by spectral measurements with visible light [5038-104]Triebel, P. / Weissbrodt, P. / Nolte, S. / Tuennermann, A. / SPIE et al. | 2003
- 892
-
Characterization of 193-nm resist layers by CD-SEM sidewall imaging [5038-106]Marschner, T. / Stief, C. / SPIE et al. | 2003
- 892
-
Characterization of 193-nm resist layers by CD-SEM sidewall imagingMarschner, Thomas / Stief, Christian et al. | 2003
- 901
-
Photoresist line-edge roughness analysis using scaling conceptsConstantoudis, Vasilios / Patsis, George P. / Gogolides, Evangelos et al. | 2003
- 901
-
Photoresist line-edge roughness analysis using scaling concepts [5038-107]Constantoudis, V. / Patsis, G. P. / Gogolides, E. / SPIE et al. | 2003
- 910
-
Practical DUV lithography for the optoelectronics marketHarris, Paul D. / McCallum, Martin / Muir, David / Hughes, Gordon / Pinkney, Stuart et al. | 2003
- 910
-
Practical DUV lithography for the optoelectronics market [5038-108]Harris, P. D. / McCallum, M. / Muir, D. / Hughes, G. / Pinkney, S. / SPIE et al. | 2003
- 918
-
Overlay performance with advanced ATHENA alignment strategiesHuijbregste, Jeroen / van Haren, Richard J. F. / Jeunink, Andre / Hinnen, Paul C. / Swinnen, Bart / Navarro, Ramon / Simons, Geert / van Bilsen, Frank / Tolsma, Hoite / Megens, Henry J. L. et al. | 2003
- 918
-
Overlay performance with advanced ATHENA alignment strategies [5038-110]Huijbregste, J. / van Haren, R. J. F. / Jeunink, A. / Hinnen, P. C. / Swinnen, B. / Navarro, R. / Simons, G. / van Bilsen, F. / Tolsma, H. / Megens, H. J. L. et al. | 2003
- 929
-
CD uniformity control using aerial image-based mask inspection [5038-111]Hemar, S. / Rosenbusch, A. / Falah, R. / SPIE et al. | 2003
- 929
-
CD uniformity control using aerial image-based mask inspectionHemar, Shirley / Rosenbusch, Anja / Falah, Reuven et al. | 2003
- 935
-
Atomic force microscopy of steep side-walled feature with carbon nanotube tipPark, Byong Chon / Kang, Jae-Hyun / Jung, Ki Y. / Song, Won Young / O, Beomhoan / Eom, TaeBong et al. | 2003
- 935
-
Atomic force microscopy of steep side-walled feature with carbon nanotube tip [5038-112]Park, B. C. / Kang, J.-H. / Jung, K. Y. / Song, W. Y. / Eom, T. B. / SPIE et al. | 2003
- 943
-
Soft electron beam etching for precision TEM sample preparation [5038-113]Rack, P. D. / Thesen, A. / Randolph, S. / Fowlkes, J. D. / Joy, D. C. / SPIE et al. | 2003
- 943
-
Soft electron beam etching for precision TEM sample preparationRack, Philip D. / Thesen, Alexander / Randolph, Stephen / Fowlkes, Jason D. / Joy, David C. et al. | 2003
- 950
-
Pattern shape comparison methods using SEM imageIkeda, Takahiro / Kotani, Toshiya / Sato, Takashi / Ueno, Kusuo / Matsuoka, Ryoichi et al. | 2003
- 950
-
Pattern shape comparison methods using SEM image [5038-114]Ikeda, T. / Kotani, T. / Sato, T. / Ueno, K. / Matsuoka, R. / SPIE et al. | 2003
- 962
-
Quantifying drift in SEMSicignano, Albert / Yeremin, Dmitriy Y. / Sandy, Matthew / Goldburt, E. T. et al. | 2003
- 962
-
Quantifying drift in SEM [5038-115]Sicignano, A. / Yeremin, D. Y. / Sandy, M. / Goldburt, E. T. / SPIE et al. | 2003
- 971
-
Evaluation of i-line Nikon Stepper leveling methods for improved CD controlBarry, Ronan / Thompson, James et al. | 2003
- 971
-
Evaluation of i-line Nikon Stepper leveling methods for improved CD control [5038-118]Barry, R. / Thompson, J. / SPIE et al. | 2003
- 980
-
Equipment log analysis to improve photolithography cluster productivity [5038-119]Magoon, H. H. / Goddard, S. R. / Kaufmann, A. / SPIE et al. | 2003
- 980
-
Equipment log analysis to improve photolithography cluster productivityMagoon, Holly H. / Goddard, Shawn R. / Kaufmann, Alois et al. | 2003
- 990
-
Measurement correlation and tool matching of multiple CD-SEMsHwu, Justin J. / Dulay, Sukhbir S. / Pham, Thao et al. | 2003
- 990
-
Measurement correlation and tool matching of multiple CD-SEMs [5038-122]Hwu, J. J. / Dulay, S. S. / Pham, T. / SPIE et al. | 2003
- 1002
-
Effect of overlay APC control on cascading levels: pertrubations of the reference levelConway, Timothy H. / Misra, Manish / Carlson, Alan P. / Crow, David A. et al. | 2003
- 1002
-
Effect of overlay APC control on cascading levels: perturbations of the reference level [5038-125]Conway, T. H. / Misra, M. / Carlson, A. P. / Crow, D. A. / SPIE et al. | 2003
- 1012
-
Development of a polymer etch rate monitor: design, characterization, and applicationWang, Heping / Toddy, Terry / Gibbons, Stephen / May, Trisha et al. | 2003
- 1012
-
Development of a polymer etch rate monitor: design, characterization, and application [5038-126]Wang, H. / Toddy, T. / Gibbons, S. / May, T. / SPIE et al. | 2003
- 1019
-
Use of silicon-versus-layout verification (SiVL) in process control of wafer lithography and mask-making metrology [5038-127]van Adrichem, P. J. M. / Driessen, F. A. J. M. / van Hasselt, K. / SPIE et al. | 2003
- 1019
-
Use of silicon-versus-layout verification (SiVL) in process control of wafer lithography and mask-making metrologyvan Adrichem, Paul J. M. / Driessen, Frank A. J. M. / van Hasselt, Kees et al. | 2003
- 1026
-
Monitoring the dissolution rate of photoresist thin films via multiwavelength interferometryAgrawal, Ankur / Henderson, Clifford L. et al. | 2003
- 1026
-
Monitoring the dissolution rate of photoresist thin films via multiwavelength interferometry [5038-129]Agrawal, A. / Henderson, C. L. / SPIE et al. | 2003
- 1038
-
Specifications and methodologies for benchmarking of advanced CD-SEMs at the 90-nm CMOS technology node and beyond [5038-130]Bunday, B. D. / Bishop, M. / SPIE et al. | 2003
- 1038
-
Specifications and methodologies for benchmarking of advanced CD-SEMs at the 90-nm CMOS technology node and beyondBunday, Benjamin D. / Bishop, Michael et al. | 2003
- 1053
-
Cr and MoSi photomask plasma etching [5038-131]Wu, B. / Chan, D. Y. / SPIE et al. | 2003
- 1053
-
Cr and MoSi photomask plasma etchingWu, Banqiu / Chan, David Y. et al. | 2003
- 1065
-
Gate etch process control [5038-133]Krogh, O. / Freeland, M. / Mori, R. / Chowdhury, T. / SPIE et al. | 2003
- 1065
-
Gate etch process controlKrogh, Ole / Freeland, Mark / Mori, Ron / Chowdhury, Tito et al. | 2003
- 1071
-
Dose and focus estimation using top-down SEM images [5038-135]Shishido, C. / Nakagaki, R. / Tanaka, M. / Takagi, Y. / Morokuma, H. / Komuro, O. / Mori, H. / SPIE et al. | 2003
- 1071
-
Dose and focus estimation using top-down SEM imagesShishido, Chie / Nakagaki, Ryo / Tanaka, Maki / Takagi, Yuji / Morokuma, Hidetoshi / Komuro, Osamu / Mori, Hiroyoshi et al. | 2003
- 1080
-
Optical digital profilometry applications on contact holesBischoff, Joerg / Niu, Xinhui / Jakatdar, Nickhil H. et al. | 2003
- 1080
-
Optical digital profilometry applications on contact holes [5038-136]Bischoff, J. / Niu, X. / Jakatdar, N. H. / SPIE et al. | 2003
- 1089
-
Three-dimensional measurement by tilting and moving objective lens in CD-SEMKimura, Kouji / Abe, Kazuo / Tsuruga, Yasuko / Suzuki, Hitoshi / Kochi, Nobuo / Koike, Hirotami / Yamazaki, Yuuichiro et al. | 2003
- 1089
-
Three-dimensional measurement by tilting and moving objective lens in CD-SEM [5038-137]Kimura, K. / Abe, K. / Tsuruga, Y. / Suzuki, H. / Kochi, N. / Koike, H. / Yamazaki, Y. / SPIE et al. | 2003
- 1095
-
Process improvement of applying 193-nm lithography to 90-nm logic implant layer [5038-139]OweYang, D. C. / Chen, H. / Deng, R. M. / Ho, B. C. / SPIE et al. | 2003
- 1095
-
Process improvement of applying 193-nm lithography to 90-nm logic implant layerOweYang, D.C. / Chen, Harrison / Deng, R.M. / Ho, Bang-Ching et al. | 2003
- 1107
-
Analysis of total CD uniformity at sub-100-nm DRAM patterning by using KrF lithographyKim, Young-Sik / You, Tae Jun / Kim, Jin-Soo / Kim, Seok-Kyun / Kong, Keun-Kyu / Kim, Young-Deuk / Kim, HyeongSoo et al. | 2003
- 1107
-
Analysis of total CD uniformity at sub-100 nm DRAM patterning by using KrF lithography [5038-140]Kim, Y.-S. / You, T.-J. / Kim, J.-S. / Kim, S.-K. / Kong, K.-K. / Kim, Y.-D. / Kim, H. / SPIE et al. | 2003
- 1114
-
Yield improvement due to edge shot parameter optimizationJoyce, Owen / Thompson, James / Geary, Shane et al. | 2003
- 1114
-
Yield improvement due to edge shot parameter optimization [5038-141]Joyce, O. / Thompson, J. / Geary, S. / SPIE et al. | 2003
- 1123
-
Designing a reference for CD-SEM magnification calibration [5038-142]Sicignano, A. / Nikitin, A. V. / Yeremin, D. Y. / Sandy, M. / Goldburt, E. T. / SPIE et al. | 2003
- 1123
-
Designing a reference for CD-SEM magnification calibrationSicignano, Albert / Nikitin, Arkady V. / Yeremin, Dmitriy Y. / Sandy, Matthew / Goldburt, E. Tim et al. | 2003
- 1131
-
Line-edge roughness reduction and CD slimming using hardbake processing [5038-144]Peters, R. D. / Lucas, K. / Cobb, J. L. / Parker, C. / Patterson, K. / McCauley, R. / Ercken, M. / Van Roey, F. / Vandenbroeck, N. / Pollentier, I. K. et al. | 2003
- 1131
-
Line-edge roughness reduction and CD slimming using hardback processingPeters, Richard D. / Lucas, Kevin / Cobb, Jonathan L. / Parker, Colita / Patterson, Kyle / McCauley, Robb / Ercken, Monique / Van Roey, Frieda / Vandenbroeck, Nadia / Pollentier, Ivan K. et al. | 2003
- 1143
-
Copy result exactly using the EB-SCOPE technologyYamada, Keizo / Ushiki, Takeo / Itagaki, Yousuke / Newcomb, Robert et al. | 2003
- 1143
-
Copy result exactly using EB-SCOPE technology [5038-145]Yamada, K. / Ushiki, T. / Itagaki, Y. / Newcomb, R. / SPIE et al. | 2003
- 1153
-
Implementation of high-resolution reticle inspection in wafer fabsDayal, Aditya / Bergmann, Nathan M. / Sanchez, Peter et al. | 2003
- 1153
-
Implementation of high-resolution reticle inspection in wafer fabs [5038-146]Dayal, A. / Bergmann, N. M. / Sanchez, P. / SPIE et al. | 2003
- 1161
-
Rotation-induced measurement error by a CD-SEMJhaveri, Tejas K. / Cottle, Rand et al. | 2003
- 1161
-
Rotation-induced measurement error by a CD-SEM [5038-147]Jhaveri, T. K. / Cottle, R. / SPIE et al. | 2003
- 1168
-
Determination of lithography process control metrics by spectroscopic scatterometryMaiken, Eric B. / Raghavendra, G. / Morales, Carmen / Choo, Bryan et al. | 2003
- 1168
-
Determination of lithography process control metrics by spectroscopic scatterometry [5038-148]Maiken, E. B. / Raghavendra, G. / Morales, C. / Choo, B. / SPIE et al. | 2003
- 1178
-
New sensing wafer technique for artifact-free transient temperature measurements in PEB processes [5038-149]Sun, M. H. / Cohen, B. M. / Quli, F. / Renken, W. G. / SPIE et al. | 2003
- 1178
-
New sensing wafer technique for artifact-free transient temperature measurements in PEB processesSun, Mei H. / Cohen, Barney M. / Quli, Farhat / Renken, Wayne G. et al. | 2003
- 1186
-
Overlay excursion management through sample plan optimization and cycle time reduction [5038-150]Chen, X. / Hung, M. Y. / Kuo, K. / Fu, S. / Shanthikumar, G. / Mao, Z. / Deng, S. / Hazari, V. / Monahan, K. M. / Slessor, M. D. et al. | 2003
- 1186
-
Overlay excursion management through sample plan optimization and cycle time reductionChen, Xuemei / Hung, Ming-Yeon / Kuo, Kelly / Fu, Steven / Shanthikumar, Geoge / Mao, Zhoujie / Deng, Shiming / Hazari, Viral / Monahan, Kevin M. / Slessor, Mike D. et al. | 2003
- 1194
-
Metrology of inkjet MEMS devicesMcKay, Roger / Redmond, Susan / Weller, Ron / Yamamoto, Kuni / Sundaram, Ganesh et al. | 2003
- 1194
-
Metrology of inkjet MEMS devices [5038-151]McKay, R. / Redmond, S. / Weller, R. / Yamamoto, K. / Sundaram, G. / SPIE et al. | 2003
- 1203
-
Web-based metrology performance diagnostics [5038-152]Sundaram, G. / Mastovich, M. E. / Avidor, R. / Remillard, J. / Brandom, R. / SPIE et al. | 2003
- 1203
-
Web-based metrology performance diagnosticsSundaram, Ganesh / Mastovich, Martin E. / Avidor, Roye / Remillard, Jason / Brandom, Robert et al. | 2003
- 1211
-
Evaluation of alignment marks using ASML ATHENA alignment system in 90-nm BEOL processTan, Chin-Boon / Yeo, Swee-Hock / Koh, Hui Peng / Koo, Chee K. / Foong, Yee M. / Siew, Yong K. et al. | 2003
- 1211
-
Evaluation of alignment marks using ASML ATHENA alignment system in 90-nm BEOL process [5038-153]Tan, C. B. / Yeo, S. H. / Koh, H. P. / Koo, C. K. / Foong, Y. M. / Siew, Y. K. / SPIE et al. | 2003
- 1219
-
Method for rapid screening of photoresist strippers for acceptance in DUV lithographic areas [5038-154]Moore, J. C. / Acharya, S. C. / SPIE et al. | 2003
- 1219
-
Method for rapid screening of photoresist strippers for acceptance in DUV lithographic areasMoore, John C. / Acharya, Shankar C. et al. | 2003
- 1231
-
Faster qualification of 193-nm resists for 100-nm development using photo cell monitoringJones, Chris M. / Kallingal, Chidam / Zawadzki, Mary T. / Jeewakhan, Nazneen N. / Kaviani, Nazila N. / Krishnan, Prakash / Klaum, Arthur D. / Van Ess, Joel et al. | 2003
- 1231
-
Faster qualification of 193-nm resists for 100-nm development using photo cell monitoring [5038-157]Jones, C. M. / Kallingal, C. / Zawadzki, M. T. / Jeewakhan, N. N. / Kaviani, N. N. / Krishnan, P. / Klaum, A. D. / Van Ess, J. / SPIE et al. | 2003