Characterization of outgassing for EUV technology [5376-94] (Englisch)
- Neue Suche nach: Thirumala, V.
- Neue Suche nach: Cao, H. B.
- Neue Suche nach: Yueh, W.
- Neue Suche nach: Choi, H.
- Neue Suche nach: Golovkina, V.
- Neue Suche nach: Wallace, J.
- Neue Suche nach: Nealey, P. F.
- Neue Suche nach: Thielman, D.
- Neue Suche nach: Cerrina, F.
- Neue Suche nach: Society of Photo-optical Instrumentation Engineers
- Neue Suche nach: Thirumala, V.
- Neue Suche nach: Cao, H. B.
- Neue Suche nach: Yueh, W.
- Neue Suche nach: Choi, H.
- Neue Suche nach: Golovkina, V.
- Neue Suche nach: Wallace, J.
- Neue Suche nach: Nealey, P. F.
- Neue Suche nach: Thielman, D.
- Neue Suche nach: Cerrina, F.
- Neue Suche nach: Sturtevant, John L.
- Neue Suche nach: Society of Photo-optical Instrumentation Engineers
In:
Advances in resist technology and processing
;
765-772
;
2004
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Print
-
Titel:Characterization of outgassing for EUV technology [5376-94]
-
Beteiligte:Thirumala, V. ( Autor:in ) / Cao, H. B. ( Autor:in ) / Yueh, W. ( Autor:in ) / Choi, H. ( Autor:in ) / Golovkina, V. ( Autor:in ) / Wallace, J. ( Autor:in ) / Nealey, P. F. ( Autor:in ) / Thielman, D. ( Autor:in ) / Cerrina, F. ( Autor:in ) / Sturtevant, John L.
-
Kongress:Conference; 21st, Advances in resist technology and processing ; 2004 ; Santa Clara, Calif.
-
Erschienen in:PROCEEDINGS- SPIE THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING ; 5376 ; 765-772
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:01.01.2004
-
Format / Umfang:8 pages
-
ISBN:
-
ISSN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Photoresist outgassing: a potential Achilles heel for short-wavelength optical lithography? (Invited Paper) [5376-01]Kunz, R. R. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1
-
Photoresist outgassing: a potential Achilles heel for short-wavelength optical lithography?Kunz, Roderick R. et al. | 2004
- 16
-
Is ArF the final wavelength?Conley, Willard E. / Bendik, Joseph J. et al. | 2004
- 16
-
Is ArF the final wavelength? (Invited Paper) [5376-02]Conley, W. E. / Bendik, J. J. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 21
-
Liquid immersion lithography: evaluation of resist issues [5376-03]Hinsberg, W. / Wallraff, G. M. / Larson, C. E. / Davis, B. W. / Deline, V. / Raoux, S. / Miller, D. / Houle, F. A. / Hoffnagle, J. / Sanchez, M. I. et al. | 2004
- 21
-
Liquid immersion lithography: evaluation of resist issuesHinsberg, William / Wallraff, Gregory M. / Larson, Carl E. / Davis, Blake W. / Deline, Vaughn / Raoux, Simone / Miller, Dolores / Houle, Frances A. / Hoffnagle, John / Sanchez, Martha I. et al. | 2004
- 34
-
Implications of immersion lithography on 193-nm photoresists [5376-04]Taylor, J. C. / Chambers, C. R. / Deschner, R. / LeSuer, R. J. / Conley, W. E. / Burns, S. D. / Willson, C. G. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 34
-
Implications of immersion lithography on 193-nm photoresistsTaylor, J. Christopher / Chambers, Charles R. / Deschner, Ryan / LeSuer, Robert J. / Conley, Willard E. / Burns, Sean D. / Willson, C. G. et al. | 2004
- 44
-
Resist interaction in 193-/157-nm immersion lithography [5376-05]Kishimura, S. / Endo, M. / Sasago, M. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 44
-
Resist interaction in 193-/157-nm immersion lithographyKishimura, Shinji / Endo, Masayuki / Sasago, Masaru et al. | 2004
- 56
-
Measurements of water distribution in thin lithographic filmsVogt, Bryan D. / Soles, Christopher L. / Prabhu, Vivek M. / Jones, Ronald L. / Wu, Wen-Li / Lin, Eric K. / Goldfarb, Dario L. / Angelopoulos, Marie et al. | 2004
- 56
-
Measurements of water distribution in thin lithographic films [5376-06]Vogt, B. D. / Soles, C. L. / Prabhu, V. M. / Jones, R. L. / Wu, W.-L. / Lin, E. K. / Goldfarb, D. L. / Angelopoulos, M. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 63
-
Quencher gradient resist process for low-k processJung, Jae Chang / Lee, Sung K. / Lee, Won W. / Bok, Cheol Kyu / Moon, Seung Chan / Shin, Ki Soo et al. | 2004
- 63
-
Quencher gradient resist process for low-k process [5376-07]Jung, J. C. / Lee, S. K. / Lee, W. W. / Bok, C. / Moon, S. C. / Shin, K. S. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 71
-
193-nm negative resist based on acid-catalyzed elimination of polar moleculesSooriyakumaran, Ratnam / Davis, Blake W. / Larson, Carl E. / Brock, Phillip J. / DiPietro, Richard A. / Wallow, Thomas I. / Connor, Eric F. / Sundberg, Linda K. / Breyta, Gregory / Allen, Robert D. et al. | 2004
- 71
-
193-nm negative resist based on acid-catalyzed elimination of polar molecules [5376-08]Sooriyakumaran, R. / Davis, B. W. / Larson, C. E. / Brock, P. J. / DiPietro, R. A. / Wallow, T. I. / Connor, E. F. / Sundberg, L. K. / Breyta, G. / Allen, R. D. et al. | 2004
- 79
-
Why do weak acids not work in 193-nm photoresists?: matrix effects on acid-catalyzed deprotection [5376-09]Pohlers, G. / Barclay, G. G. / Razvi, A. / Stafford, C. / Barbieri, A. / Cameron, J. F. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 79
-
Why do weak acids not work in 193-nm photoresists?: matrix effects on acid-catalyzed deprotectionPohlers, Gerd / Barclay, George G. / Razvi, Azher / Stafford, Carolyne / Barbieri, Anthony / Cameron, James F. et al. | 2004
- 94
-
IBM-JSR 193-nm negative tone resist: polymer design, material properties, and lithographic performancePatel, Kaushal S. / Lawson, Margaret C. / Varanasi, Pushkara Rao / Medeiros, David R. / Wallraff, Gregory M. / Brock, Phillip J. / DiPietro, Richard A. / Nishimura, Yukio / Chiba, Takashi / Slezak, Mark et al. | 2004
- 94
-
IBM-JSR 193-nm negative tone resist: polymer design, material properties, and lithographic performance [5376-10]Patel, K. / Lawson, M. C. / Varanasi, P. R. / Medeiros, D. R. / Wallraff, G. M. / Brock, P. J. / DiPietro, R. A. / Nishimura, Y. / Chiba, T. / Slezak, M. et al. | 2004
- 103
-
Novel nonionic photoacid generator releasing strong acid for chemically amplified resists [5376-11]Yamato, H. / Asakura, T. / Hintermann, T. / Ohwa, M. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 103
-
Novel nonionic photoacid generator releasing strong acid for chemically amplified resistsYamato, Hitoshi / Asakura, Toshikage / Hintermann, Tobias / Ohwa, Masaki et al. | 2004
- 115
-
Using scanning electrochemical microscopy to probe chemistry at the solid-liquid interface in chemically amplified immersion lithographyLeSuer, Robert J. / Fan, Fu-Ren F. / Bard, Allen J. / Taylor, J. Christopher / Tsiartas, Pavlos / Willson, Grant / Conley, Willard E. / Feit, Gene / Kunz, Roderick R. et al. | 2004
- 115
-
Using scanning electrochemical microscopy to probe chemistry at the solid-liquid interface in chemically amplified immersion lithography [5376-162]LeSuer, R. J. / Fan, F. F. / Bard, A. J. / Taylor, J. C. / Tsiartas, P. / Willson, C. G. / Conley, W. E. / Feit, G. / Kunz, R. R. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 126
-
High-performance 193-nm photoresist materials based on ROMA polymers: sub-90-nm contact hole application with resist reflowJoo, Hyun S. / Seo, Dong C. / Kim, Chang M. / Lim, Young T. / Cho, Seong D. / Lee, Jong B. / Song, Ji Y. / Kim, Kyoung M. / Park, Joo H. / Jung, Jae Chang et al. | 2004
- 126
-
High-performance 193-nm photoresist materials based on ROMA polymers: sub-90-nm contact hole application with resist reflow [5376-13]Joo, H. S. / Seo, D. C. / Kim, C. M. / Lim, Y. T. / Cho, S. D. / Lee, J. B. / Song, J. Y. / Kim, K. M. / Park, J. H. / Jung, J. C. et al. | 2004
- 134
-
Recent advances in fluorinated resists for application at 157 nmHoulihan, Francis M. / Sakamuri, Raj / Romano, Andrew / Rentkiewicz, David / Dammel, Ralph R. / Conley, Willard E. / Miller, Daniel A. / Sebald, Michael / Stepanenko, Nickolay / Markert, Matthias et al. | 2004
- 134
-
Recent advances in fluorinated resists for application at 157 nm [5376-14]Houlihan, F. M. / Sakamuri, R. / Romano, A. / Rentkiewicz, D. / Dammel, R. R. / Conley, W. E. / Miller, D. A. / Sebald, M. / Stepanenko, N. / Markert, M. et al. | 2004
- 151
-
A new monocyclic fluropolymer structure for 157-nm photoresists [5376-15]Takebe, Y. / Eda, M. / Okada, S. / Yokokoji, O. / Irie, S. / Otoguro, A. / Fujii, K. / Itani, T. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 151
-
A new monocyclic fluropolymer structure for 157-nm photoresistsTakebe, Yoko / Eda, Masataka / Okada, Shinji / Yokokoji, Osamu / Irie, Shigeo / Otoguro, Akihiko / Fujii, Kiyoshi / Itani, Toshiro et al. | 2004
- 159
-
Characterization of TFE/norbornene-based fluoropolymer resist for 157-nm lithographyHagiwara, Takuya / Furukawa, Takamitsu / Itani, Toshiro / Fujii, Kiyoshi / Ishikawa, Takuji / Koh, Meiten / Kodani, Tetsuhiro / Moriya, Tsukasa / Yamashita, Tsuneo / Araki, Takayuki et al. | 2004
- 159
-
Characterization of TFE/norbornene-based fluoropolymer resist for 157-nm lithography [5376-16]Hagiwara, T. / Furukawa, T. / Itani, T. / Fujii, K. / Ishikawa, T. / Koh, M. / Kodani, T. / Moriya, T. / Yamashita, T. / Araki, T. et al. | 2004
- 169
-
The dissolution behavior of tetrafluoroethylene-based fluoropolymers for 157-nm resist materials [5376-17]Ishikawa, T. / Kodani, T. / Koh, M. / Moriya, T. / Araki, T. / Aoyama, H. / Yamashita, T. / Toriumi, M. / Hagiwara, T. / Furukawa, T. et al. | 2004
- 169
-
The dissolution behavior of tetrafluoroethylene-based fluoropolymers for 157-nm resist materialsIshikawa, Takuji / Kodani, Tetsuhiro / Koh, Meiten / Moriya, Tsukasa / Araki, Takayuki / Aoyama, Hirokazu / Yamashita, Tsuneo / Toriumi, Minoru / Hagiwara, Takuya / Furukawa, Takamitsu et al. | 2004
- 178
-
Outgassing characteristics of acetal resists for 157-nm lithography investigated by time-resolved measurementMatsui, Yoshinori / Seki, Shu / Matsui, Shiro / Tagawa, Seiichi / Irie, Shigeo / Itani, Toshiro et al. | 2004
- 178
-
Outgassing characteristics of acetal resists for 157-nm lithography investigated by time-resolved measurement [5376-18]Matsui, Y. / Seki, S. / Matsui, S. / Tagawa, S. / Irie, S. / Itani, T. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 186
-
157-nm single-layer resist based on novel monocyclic fluorinated polymerOtoguro, Akihiko / Irie, Shigeo / Itani, Toshiro / Fujii, Kiyoshi / Takebe, Yoko / Kawaguchi, Yasuhide / Yokokoji, Osamu et al. | 2004
- 186
-
157-nm single-layer resist based on novel monocyclic fluorinated polymer [5376-19]Otoguro, A. / Irie, S. / Itani, T. / Fujii, K. / Takebe, Y. / Kawaguchi, Y. / Yokokoji, O. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 196
-
Strategy for sub-80-nm contact hole patterning considering device fabricationYoon, Jin-Young / Hata, Mitsuhiro / Hah, Jung-Hwan / Kim, Hyun-Woo / Woo, Sang-Gyun / Cho, Han-Ku / Han, Woo-Sung et al. | 2004
- 196
-
Strategy for sub-80-nm contact hole patterning considering device fabrication [5376-20]Yoon, J.-Y. / Hata, M. / Hah, J.-H. / Kim, H.-W. / Woo, S.-G. / Cho, H.-K. / Han, W.-S. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 205
-
Dependence of ArF resist on exposed area ratioShiobara, Eishi / Chiba, Kenji / Hayasaki, Kei / Kawamura, Daisuke et al. | 2004
- 205
-
Dependence of ArF resist on exposed area ratio [5376-21]Shiobara, E. / Chiba, K. / Hayasaki, K. / Kawamura, D. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 215
-
Overbake: sub-40-nm gate patterning with ArF lithography and binary masksVan Steenwinckel, David / Kwinten, Hans / Locorotondo, Sabrina / Beckx, Stephan et al. | 2004
- 215
-
Overbake: sub-40-nm gate patterning with ArF lithography and binary masks [5376-22]Van Steenwinckel, D. / Kwinten, H. / Locorotondo, S. / Beckx, S. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 226
-
Evaluation of outgassing from a fluorinated resist for 157-nm lithographyIrie, Shigeo / Fujii, Kiyoshi / Itakura, Yasuo / Kawasa , Youichi / Egawa, Keiji / Uchino, Ikuo / Sumitani, Akira / Itani, Toshiro et al. | 2004
- 226
-
Evaluation of outgassing from a fluorinated resist for 157-nm lithography [5376-23]Irie, S. / Fujii, K. / Itakura, Y. / Kawasa, Y. / Egawa, K. / Uchino, I. / Sumitani, A. / Itani, T. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 238
-
Optimization of resist shrink techniques for contact hole and metal trench ArF lithography at the 90-nm technology nodeWallace, Christine / Schacht, Jochen / Huang, I H. / Hsu, Ruei H. et al. | 2004
- 238
-
Optimization of resist shrink techniques for contact hole and metal trench ArF lithography at the 90-nm technology node [5376-24]Wallace, C. / Schacht, J. / Huang, I. H. / Hsu, R. H. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 245
-
157-nm resist assessment by a full-field scanner [5376-25]Otoguro, A. / Irie, S. / Ishimaru, T. / Suganaga, T. / Itani, T. / Fujii, K. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 245
-
157-nm resist assessment by a full-field scannerOtoguro, Akihiko / Irie, Shigeo / Ishimaru, Toshiyuki / Suganaga, Toshifumi / Itani, Toshiro / Fujii, Kiyoshi et al. | 2004
- 254
-
Comprehensive analysis of sources of total CD variation in ArF resist perspectiveKim, Hyun-Woo / Lee, Hyung-Rae / Kim, Kyung-Mee / Lee, Shi Yong / Kim, Bong-Cheol / Oh, Seok-Hwan / Woo, Sang-Gyun / Cho, Han-Ku / Han, Woo-Sung et al. | 2004
- 254
-
Comprehensive analysis of sources of total CD variation in ArF resist perspective [5376-26]Kim, H.-W. / Lee, H.-R. / Kim, K.-M. / Lee, S. Y. / Kim, B.-C. / Oh, S.-H. / Woo, S.-G. / Cho, H.-K. / Han, W.-S. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 266
-
Novel reactions of quadricyclane: a new route to monomers for low-absorbing polymers in 157-nm photoresistsMarsella, John A. / Abdourazak, Atteye H. / Carr, Richard V. C. / Markley, Thomas J. / Robertson, Eric A. et al. | 2004
- 266
-
Novel reactions of quadricyclane: a new route to monomers for low-absorbing polymers in 157-nm photoresists [5376-27]Marsella, J. A. / Abdourazak, A. H. / Carr, R. V. C. / Markley, T. J. / Robertson, E. A. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 276
-
Effects of airborne molecular contamination on 157-nm resists: AMC friend or foe?Meute, Jeff J. / Rich, Georgia / Turnquest, Karen / Dean, Kim / Patel, Shashikant / Graffenberg, Victoria L. / Rodriguez, Michael P. et al. | 2004
- 276
-
Effects of airborne molecular contamination on 157-nm resists: AMC friend or foe? [5376-28]Meute, J. / Rich, G. / Turnquest, K. / Dean, K. / Patel, S. / Graffenberg, V. L. / Rodriguez, M. P. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 285
-
Acid diffusion characteristics of RELACS coating for 193-nm lithographyHong, Sungeun / Nishibe, Takeshi / Okayasu, Tetsuo / Takahashi, Kiyohisa / Takano, Yusuke / Kang, Wenbing / Tanaka, Hatsuyuki et al. | 2004
- 285
-
Acid diffusion characteristics of RELACS coating for 193-nm lithography [5376-135]Hong, S. / Nishibe, T. / Okayasu, T. / Takahashi, K. / Takano, Y. / Kang, W. / Tanaka, H. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 294
-
Elimination of photoresist linewidth slimming by fluorination [5376-30]Garza, C. M. / Conley, W. E. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 294
-
Elimination of photoresist linewidth slimming by fluorinationGarza, Cesar M. / Conley, Willard E. et al. | 2004
- 302
-
Surface and bulk chemistry of chemically amplified photoresists: segregation in thin films and environmental stability issuesJablonski, Erin L. / Prabhu, Vivek M. / Sambasivan, Sharadha / Fischer, Daniel A. / Lin, Eric K. / Goldfarb, Dario L. / Angelopoulos, Marie / Ito, Hiroshi et al. | 2004
- 302
-
Surface and bulk chemistry of chemically amplified photoresists: segregation in thin films and environmental stability issues [5376-31]Jablonski, E. L. / Prabhu, V. M. / Sambasivan, S. / Fischer, D. A. / Lin, E. K. / Goldfarb, D. L. / Angelopoulos, M. / Ito, H. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 312
-
Investigation of shot-noise-induced line-edge roughness by continuous-model-based simulationYuan, Lei / Neureuther, Andrew R. et al. | 2004
- 312
-
Investigation of shot-noise-induced line-edge roughness by continuous-model-based simulation [5376-32]Yuan, L. / Neureuther, A. R. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 322
-
The lithographic impact of resist model parameters [5376-33]Smith, M. D. / Byers, J. D. / Mack, C. A. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 322
-
The lithographic impact of resist model parametersSmith, Mark D. / Byers, Jeffrey D. / Mack, Chris A. et al. | 2004
- 333
-
Resolution limitations in chemically amplified photoresist systems [5376-34]Schmid, G. M. / Stewart, M. D. / Wang, C.-Y. / Vogt, B. D. / Prabhu, V. M. / Lin, E. K. / Willson, C. G. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 333
-
Resolution limitations in chemically amplified photoresist systemsSchmid, Gerard M. / Stewart, Michael D. / Wang, Chia-Ying / Vogt, Bryan D. / Prabhu, Vivek M. / Lin, Eric K. / Willson, C. G. et al. | 2004
- 343
-
Rinse additives for line-edge roughness control in 193-nm lithography [5376-89]Goldfarb, D. L. / Burns, S. D. / Burns, R. L. / Brodsky, C. J. / Lawson, M. C. / Angelopoulos, M. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 343
-
Rinse additives for line-edge roughness control in 193-nm lithographyGoldfarb, Dario L. / Burns, Sean D. / Burns, Ryan L. / Brodsky, Colin J. / Lawson, Margaret C. / Angelopoulos, Marie et al. | 2004
- 352
-
Reactive dissolution kinetics of lithographic copolymers [5376-36]Hinsberg, W. / Houle, F. A. / Ito, H. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 352
-
Reactive dissolution kinetics of lithographic copolymersHinsberg, William / Houle, Frances A. / Ito, Hiroshi et al. | 2004
- 360
-
Design of dissolution inhibitors for chemically amplified photolithographic systemsChambers, Charles R. / Kusumoto, Shiro / Osborn, Brian P. / Vasudev, Alok / Ootani, Michitaka / Walthal, Leonidas / McMichael, Hale / Zimmerman, Paul A. / Conley, Willard E. / Willson, C. Grant et al. | 2004
- 360
-
Design of dissolution inhibitors for chemically amplified photolithographic systems [5376-37]Chambers, C. R. / Kusumoto, S. / Osbom, B. P. / Vasudev, A. / Ootani, M. / Walthal, L. / McMichael, H. / Zimmerman, P. A. / Conley, W. E. / Willson, C. G. et al. | 2004
- 369
-
Effect of nanoscale confinement on the diffusion behavior of photoresist polymer thin filmsSingh, Lovejeet / Ludovice, Peter J. / Henderson, Clifford L. et al. | 2004
- 369
-
Effect of nanoscale confinement on the diffusion behavior of photoresist polymer thin films [5376-38]Singh, L. / Ludovice, P. J. / Henderson, C. L. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 379
-
Proximity correction and k1 performance for resists with nonoptical patterning response [5376-39]Fryer, D. S. / Singh, V. K. / Phung, T. N. / Liu, P. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 379
-
Proximity correction and k1 performance for resists with nonoptical patterning responseFryer, David S. / Singh, Vivek K. / Phung, Thanh N. / Liu, Peng et al. | 2004
- 384
-
A study on the dissolution inhibition of poly norbornene hexafluoroisopropanol in aqueous base solutions [5376-40]Toukhy, M. A. / Oberlander, J. / Rahman, D. / Houlihan, F. M. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 384
-
A study on the dissolution inhibition of poly norbornene hexafluoroisopropanol in aqueous base solutionsToukhy, Medhat A. / Oberlander, Joseph / Rahman, Dalil / Houlihan, Francis M. et al. | 2004
- 392
-
Improved chemically amplified photoresist characterization using interdigitated electrode sensors: photoacid diffusivity measurementsBerger, Cody M. / Henderson, Clifford L. et al. | 2004
- 392
-
Improved chemically amplified photoresist characterization using interdigitated electrode sensors: photoacid diffusivity measurements [5376-41]Berger, C. M. / Henderson, C. L. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 404
-
Effect of background exposure dose upon line-edge roughness (LER) [5376-43]Williamson, M. V. / Neureuther, A. R. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 404
-
Effect of background exposure dose upon line-edge roughness (LER)Williamson, Mike V. / Neureuther, Andrew R. et al. | 2004
- 414
-
Characterization of line-edge roughness in photoresist using an image fading technique [5376-44]Pawloski, A. R. / Acheta, A. / Lalovic, I. / La Fontaine, B. M. / Levinson, H. J. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 414
-
Characterization of line-edge roughness in photoresist using an image fading techniquePawloski, Adam R. / Acheta, Alden / Lalovic, Ivan / La Fontaine, Bruno M. / Levinson, Harry J. et al. | 2004
- 426
-
Effect of line-edge roughness (LER) and line-width roughness (LWR) on sub-100-nm device performance [5376-45]Lee, J.-Y. / Shin, J. / Kim, H.-W. / Woo, S.-G. / Cho, H.-K. / Han, W.-S. / Moon, J.-T. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 426
-
Effect of line-edge roughness (LER) and line-width roughness (LWR) on sub-100-nm device performanceLee, Ji-Young / Shin, Jangho / Kim, Hyun-Woo / Woo, Sang-Gyun / Cho, Han-Ku / Han, Woo-Sung / Moon, Joo-Tae et al. | 2004
- 434
-
Patterning capabilities of EUV resists [5376-46]Yueh, W. / Cao, H. B. / Chandhok, M. / Lee, S. / Shumway, M. / Bokor, J. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 434
-
Patterning capabilities of EUV resistsYueh, Wang / Cao, Heidi B. / Chandhok, Manish / Lee, Sang / Shumway, Michael / Bokor, Jeff et al. | 2004
- 443
-
Fundamentals of developer-resist interactions for line-edge roughness and critical dimension control in model 248-nm and 157-nm photoresistsPrabhu, Vivek M. / Wang, Michael X. / Jablonski, Erin L. / Vogt, Bryan D. / Lin, Eric K. / Wu, Wen-Li / Goldfarb, Dario L. / Angelopoulos, Marie / Ito, Hiroshi et al. | 2004
- 443
-
Fundamentals of developer-resist interactions for line-edge roughness and critical dimension control in model 248-nm and 157-nm photoresists [5376-47]Prabhu, V. M. / Wang, M. X. / Jablonski, E. L. / Vogt, B. D. / Lin, E. K. / Wu, W.-L. / Goldfarb, D. L. / Angelopoulos, M. / Ito, H. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 452
-
Application of photosensitive BARC and KrF resist on implant layersOwe-Yang, D.C. / Ho, Bang-ching / Miyazaki, Shinji / Katayama, Tomohide / Susukida, Kenji / Kang, Wenbing / Chang, Yung-Cheng et al. | 2004
- 452
-
Application of photosensitive BARC and KrF resist on implant layers [5376-48]Owe-Yang, D. C. / Ho, B.-C. / Miyazaki, S. / Katayama, T. / Susukida, K. / Kang, W. / Chang, Y.-C. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 461
-
Evaluation of wet-developable KrF organic BARC to improve CD uniformity for implant application [5376-49]Guilmeau, I. D. / Guerrero, A. F. / Blain, V. / Kremer, S. / Vachellerie, V. / Lenoble, D. / Nogueira, P. / Mougel, S. / Chapon, J.-D. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 461
-
Evaluation of wet-developable KrF organic BARC to improve CD uniformity for implant applicationGuilmeau, Isabelle D. / Guerrero, Alice F. / Blain, Vincent / Kremer, Stephanie / Vachellerie, Vincent / Lenoble, Damien / Nogueira, Patricia / Mougel, Sebastien / Chapon, Jean-Damien et al. | 2004
- 471
-
Rinse additives for defect suppression in 193-nm and 248-nm lithogrophy [5376-51]Skordas, S. / Burns, R. L. / Goldfarb, D. L. / Burns, S. D. / Angelopoulos, M. / Brodsky, C. J. / Lawson, M. C. / Pillette, C. J. / Bright, J. J. / Isaacson, R. L. et al. | 2004
- 471
-
Rinse additives for defect suppression in 193-nm and 248-nm lithogrophySkordas, Spyridon / Burns, Ryan L. / Goldfarb, Dario L. / Burns, Sean D. / Angelopoulos, Marie / Brodsky, Colin J. / Lawson, Margaret C. / Pillette, Carole J. / Bright, Jeffrey J. / Isaacson, Robert L. et al. | 2004
- 482
-
Rapid supercritical drying techniques for advanced lithography [5376-52]Namatsu, H. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 482
-
Rapid supercritical drying techniques for advanced lithographyNamatsu, Hideo et al. | 2004
- 490
-
Enhancing the electron beam sensitivity of hydrogen silsesquioxane (HSQ)Jeyakumar, Augustin / Henderson, Clifford L. et al. | 2004
- 490
-
Enhancing the electron beam sensitivity of hydrogen silsesquioxane (HSQ) [5376-54]Jeyakumar, A. / Henderson, C. L. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 502
-
BIORESIST: a lithographic approach for the patterning of cells in tissue engineering applicationsHe, Wei / Gonsalves, Kenneth E. / Halberstadt, Craig R. / Umar, Yusif / Choi, Jae-Hak et al. | 2004
- 502
-
BIORESIST: a lithographic approach for the patterning of cells in tissue engineering applications [5376-55]He, W. / Gonsalves, K. E. / Halberstadt, C. R. / Umar, Y. / Choi, J.-H. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 508
-
Novel resists with nontraditional compositions for EUV lithographyDai, Junyan / Ober, Christopher K. et al. | 2004
- 508
-
Novel resists with nontraditional compositions for EUV lithography [5376-56]Dai, J. / Ober, C. K. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 517
-
Photopatternable silicone compositions for electronic packaging applicationsHarkness, Brian R. / Gardner, Geoff B. / Alger, James S. / Cummings, Michelle R. / Princing, Jennifer / Lee, Yeong / Meynen, Herman / Gonzales, Mario / Vandevelde, Bart / Vanden Bulcke, Mathieu et al. | 2004
- 517
-
Photopatternable silicone compositions for electronic packaging applications [5376-57]Harkness, B. R. / Gardner, G. B. / Alger, J. S. / Cummings, M. R. / Princing, J. / Lee, Y. / Meynen, H. / Gonzales, M. / Vandevelde, B. / Bulcke, M. V. et al. | 2004
- 525
-
Fluoropolymers for 157-nm single-layer resists developed using a new etching rate estimation model (KI-Model) [5376-58]Kawaguchi, Y. / Sasaki, T. / Irisawa, J. / Yokokoji, O. / Irie, S. / Otoguro, A. / Itani, T. / Fujii, K. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 525
-
Fluoropolymers for 157-nm single-layer resists developed using a new etching rate estimation model (KI-Model)Kawaguchi, Yasuhide / Sasaki, Takashi / Irisawa, Jun / Yokokoji, Osamu / Irie, Shigeo / Otoguro, Akihiko / Itani, Toshiro / Fujii, Kiyoshi et al. | 2004
- 533
-
Contact shrinkage techniques for 157-nm lithographyYamana, Mitsuharu / Hirano, Masumi / Nagahara, Seiji / Tominaga, Makoto et al. | 2004
- 533
-
Contact shrinkage techniques for 157-nm lithography [5376-59]Yamana, M. / Hirano, M. / Nagahara, S. / Tominaga, M. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 541
-
Evaluation of 157-nm resist structure: outgassing relationship using in situ QCM technique [5376-60]Shirai, M. / Shinozuka, T. / Takashiba, S. / Horiguchi, Y. / Irie, S. / Itani, T. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 541
-
Evaluation of 157-nm resist structure: outgassing relationship using in situ QCM techniqueShirai, Masamitsu / Shinozuka, Toyofumi / Takashiba, Shinichi / Horiguchi, Yusuke / Irie, Shigeo / Itani, Toshiro et al. | 2004
- 549
-
Synthesis of photoresists for 157-nm microlithography using CO~2 [5376-62]Boggiano, M. K. / DeSimone, J. M. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 549
-
Synthesis of photoresists for 157-nm microlithography using CO2Boggiano, Mary Kate / DeSimone, Joseph M. et al. | 2004
- 554
-
Hexafluoroisopropyl and trifluoromethyl carbinols in an acrylate platform for 157-nm chemically amplified resistsJakubek, Vladimir / Robertson, Eric A. / Abdourazak, Atteye H. / Markley, Thomas J. / Marsella, John A. / Ober, Christopher K. et al. | 2004
- 554
-
Hexafluoroisopropyl and trifluoromethyl carbinols in an acrylate platform for 157-nm chemically amplified resists [5376-63]Jakubek, V. / Robertson, E. A. / Abdourazak, A. H. / Markley, T. J. / Marsella, J. A. / Ober, C. K. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 565
-
Application of newly synthesized poly(hydroxystyrene-acrylate) copolymers to improve vacuum stability on E-beam resist for mask fabrication [5376-64]Lee, D. / Kim, S. / Choi, D. / Kim, D. / Kim, J. / Kim, C. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 565
-
Application of newly synthesized poly(hydroxystyrene-acrylate) copolymers to improve vacuum stability on E-beam resist for mask fabricationLee, Dong-hwal / Kim, Sang-jung / Choi, Dong-uk / Kim, Deogbae / Kim, Jaehyun / Kim, Chang-hwan et al. | 2004
- 575
-
Impact of BARC on SEM shrinkage of ArF resist [5376-65]Lee, S. Y. / Kim, M. / Yoon, S. / Kim, K.-M. / Kim, J. H. / Kim, H.-W. / Woo, S.-G. / Kim, Y. H. / Chon, S.-M. / Kishioka, T. et al. | 2004
- 575
-
Impact of BARC on SEM shrinkage of ArF resistLee, Shi Yong / Kim, Myungsun / Yoon, Sangwoong / Kim, Kyung-Mee / Kim, Jae Hyun / Kim, Hyun-Woo / Woo, Sang-Gyun / Kim, Young Ho / Chon, Sang-Mun / Kishioka, Takahiro et al. | 2004
- 583
-
Influence of resin properties to resist performance at ArF lithography [5376-66]Yoon, S. / Kim, M. / Lee, H. / Kim, D. Y. / Kim, Y. H. / Kim, B. D. / Kim, J. H. / Kim, K.-M. / Lee, S. Y. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 583
-
Influence of resin properties to resist performance at ArF lithographyYoon, Sangwoong / Kim, Myungsun / Lee, Hong / Kim, Do Y. / Kim, Young Hoon / Kim, Boo Deuk / Kim, Jae Hyun / Kim, Kyung-Mee / Lee, Shi Yong / Kim, Young Ho et al. | 2004
- 591
-
Design and development of novel monomers and copolymers for 193-nm lithography [5376-67]Otake, A. / Araya, E. / Momose, H. / Ansai, R. / Tooyama, M. / Fujiwara, T. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 591
-
Design and development of novel monomers and copolymers for 193-nm lithographyOtake, Atsushi / Araya, Emi / Momose, Hikaru / Ansai, Ryuichi / Tooyama, Masayuki / Fujiwara, Tadayuki et al. | 2004
- 599
-
Investigation of the effect of resist components and process condition on photochemical efficiency of ArF photoresist [5376-68]Kim, J.-W. / Son, E.-K. / Lee, S.-H. / Kim, D. / Kim, J. / Lee, G.-S. / Jung, J.-C. / Bok, C.-K. / Moon, S.-C. / Shin, K.-S. et al. | 2004
- 599
-
Investigation of the effect of resist components and process condition on photochemical efficiency of ArF photoresistKim, Jung-Woo / Son, Eun-Kyung / Lee, Sang-Hyang / Kim, Deogbae / Kim, Jaehyun / Lee, Geunsu / Jung, Jae Chang / Bok, Cheol Kyu / Moon, Seung Chan / Shin, Ki Soo et al. | 2004
- 608
-
The synthesis and properties of N-hydroxy maleopimarimide sulfonate derivatives as PAG and inhibitor for deep-UV photoresistWang, Liyuan / Wang, Wenjun / Guo, Xin et al. | 2004
- 608
-
The synthesis and properties of N-hydroxy maleopimarimide sulfonate derivatives as PAG and inhibitor for deep-UV photoresist [5376-69]Wang, L. / Wang, W. / Guo, X. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 616
-
Water-developable resists based on glyceryl methacrylate for 193-nm lithographyKim, Jin-Baek / Jang, Ji-Hyun / Choi, Jae-Hak / Lee, Kwan-Ku / Ko, Jong-Sung et al. | 2004
- 616
-
Water-developable resists based on glyceryl methacrylate for 193-nm lithography [5376-70]Kim, J.-B. / Jang, J.-H. / Choi, J.-H. / Lee, K.-K. / Ko, J.-S. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 625
-
PEB sensitivity variation of 193-nm resist according to activation energy of protection groups [5376-71]Oh, S. K. / Kim, J. Y. / Lee, J. W. / Kim, D. / Kim, J. / Lee, G. / Jung, J. C. / Bok, C.-K. / Shin, K.-S. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 625
-
PEB sensitivity variation of 193-nm resist according to activation energy of protection groupsOh, Seung Keun / Kim, Jong Yong / Lee, Jae Woo / Kim, Deogbae / Kim, Jaehyun / Lee, Geunsu / Jung, Jae Chang / Bok, Cheol Kyu / Shin, Ki Soo et al. | 2004
- 633
-
Wet-recess process optimization of a bottom antireflective coating for the via-first dual-damascene schemeBrakensiek, Nickolas L. / Kidd, Brian / Washburn, Carlton A. / Murphy, Earnest et al. | 2004
- 633
-
Wet-recess process optimization of a bottom antireflective coating for the via-first dual-damascene scheme [5376-72]Brakensiek, N. L. / Kidd, B. / Washburn, C. A. / Murphy, E. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 640
-
Developer-soluble gap fill materials for patterning metal trenches in via-first dual-damascene processBhave, Mandar / Edwards, Kevin / Washburn, Carlton A. / Takei, Satoshi / Sakaida, Yasushi / Nakajima, Yasuyuki et al. | 2004
- 640
-
Developer-soluble gap fill materials for patterning metal trenches in via-first dual-damascene process [5376-73]Bhave, M. / Edwards, K. / Washburn, C. A. / Takei, S. / Sakaida, Y. / Nakajima, Y. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 648
-
Bottom antireflective coatings (BARCs) for 157-nm lithographyHe, Liu / Puligadda, Rama / Lowes, Joyce / Rich, Michael D. et al. | 2004
- 648
-
Bottom antireflective coatings (BARCs) for 157-nm lithography [5376-75]He, L. / Puligadda, R. / Lowes, J. / Rich, M. D. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 655
-
Wet developable bottom antireflective coatings [5376-76]Hatanaka, T. / Kimura, S. / Enomoto, T. / Nakajima, Y. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 655
-
Wet developable bottom antireflective coatingsHatanaka, Tadashi / Kimura, Shigeo / Enomoto, Tomoyuki / Nakajima, Yasuyuki et al. | 2004
- 664
-
A planarization process for multilayer lithography applicationsShih, Wu-Sheng / Neef, Charles J. / Daffron, Mark G. et al. | 2004
- 664
-
A planarization process for multilayer lithography applications [5376-77]Shih, W.-S. / Neef, C. J. / Daffron, M. G. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 673
-
Integration using inorganic BARC in a via-first dual-damascene process with low-k dielectric [5376-78]Ahn, J. K. / Choi, S. H. / Kim, Y. K. / Park, K. Y. / Choi, J. S. / Hong, E. S. / Shin, K. S. / Kim, S. B. / Choi, K. K. / Hwang, S. B. et al. | 2004
- 673
-
Integration using inorganic BARC in a via-first dual-damascene process with low-k dielectricAhn, Jun Kyu / Choi, Seon Ho / Kim, Young Keun / Park, Ki Yeop / Choi, Jae Sung / Hong, Eun Suk / Shin, Kang Sup / Kim, Si Bum / Choi, Kyeong Keun / Hwang, Sung Bo et al. | 2004
- 684
-
New BARC materials for the 65-nm node in 193-nm lithographyNeef, Charles J. / Krishnamurthy, Vandana / Nagatkina, Mariya I. / Bryant, Evan / Windsor, Michelle / Nesbit, Cheryl et al. | 2004
- 684
-
New BARC materials for the 65-nm node in 193-nm lithography [5376-79]Neef, C. J. / Krishnamurthy, V. / Nagatkina, M. I. / Bryant, E. / Windsor, M. / Nesbit, C. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 689
-
Thin film and high-etch-rate type 248-nm bottom antireflective coatings [5376-80]Enomoto, T. / Takei, S. / Kishioka, T. / Hatanaka, T. / Sakamoto, R. / Nakajima, Y. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 689
-
Thin film and high-etch-rate type 248-nm bottom antireflective coatingsEnomoto, Tomoyuki / Takei, Satoshi / Kishioka, Takahiro / Hatanaka, Tadashi / Sakamoto, Rikimaru / Nakajima, Yasuyuki et al. | 2004
- 697
-
Design and development of high-etch-rate organic bottom antireflective coating for sub-100-nm node and beyondWu, Hengpeng / Xiang, Zhong / Hishida, Aritaka / Abdallah, David / Shan, Jianhui / Gonzalez, Eleazar / Ding, Shuji S. / Neisser, Mark et al. | 2004
- 697
-
Design and development of high-etch-rate organic bottom antireflective coating for sub-100-nm node and beyond [5376-81]Wu, H. / Xiang, Z. / Hishida, A. / Abdallah, D. / Shan, J. / Gonzalez, E. / Ding, S. S. / Neisser, M. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 703
-
Analysis of solvent effect to control the BARC coating uniformityJung, Min-Ho / Yoon, Sangwoong / Chung, Eun-Soon / Yoo, Beom-Sang / Ya, Jeong Yun / Winning, Don / Kim, Boo Deuk / Lee, Hong / Kim, Do Young / Kim, Young Hoon et al. | 2004
- 703
-
Analysis of solvent effect of control the BARC coating uniformity [5376-82]Jung, M.-H. / Yoon, S. / Chung, E.-S. / Yoo, B.-S. / Ya, J. Y. / Winning, D. / Kim, B. D. / Lee, H. / Kim, D. Y. / Kim, Y. H. et al. | 2004
- 711
-
Via fill properties of organic BARCs in dual-damascene applicationHuang, Runhui et al. | 2004
- 711
-
Via fill properties of organic BARCs in dual-damascene application [5376-83]Huang, R. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 718
-
High-etch-rate ArF BARC composed of polyester [5376-84]Hwang, Y. S. / Jung, J. C. / Ban, K. D. / Park, S. / Bok, C. / Moon, S. C. / Shin, K. S. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 718
-
High-etch-rate ArF BARC composed of polyesterHwang, Young Sun / Jung, Jae Chang / Ban, Keun Do / Park, Sarohan / Bok, Cheol Kyu / Moon, Seung Chan / Shin, Ki Soo et al. | 2004
- 724
-
Application of new thin BARC technology for KrF lithography at 80-nm node device [5376-85]Kim, M.-S. / Shim, K.-C. / Kim, H.-J. / Kwon, K.-S. / Lee, H.-G. / Lee, C.-S. / Gil, M.-G. / Song, Y.-W. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 724
-
Application of new thin BARC technology for KrF lithography at 80-nm node deviceKim, Myoung-Soo / Shim, Kew-Chan / Kim, Hak-Joon / Kwon, Ki-Sung / Lee, Hong-Goo / Lee, Chul-Seung / Gil, Myung-Goon / Song, Yong-Wook et al. | 2004
- 729
-
Double prewet RRC (reducing resist consumption) process for deep-ultraviolet bottom antireflective coatings (BARC) [5376-87]Li, X. / Greene, W. / Bowker, C. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 729
-
Double prewet RRC (reducing resist consumption) process for deep-ultraviolet bottom antireflective coatings (BARC)Li, Xiao / Greene, Warren / Bowker, Chris et al. | 2004
- 739
-
Silicon backbone polymers as EUV resists [5376-90]Bravo-Vasquez, J. P. / Kwark, Y.-J. / Ober, C. K. / Cao, H. B. / Deng, H. / Meagley, R. P. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 739
-
Silicon backbone polymers as EUV resistsBravo-Vasquez, Juan Pablo / Kwark, Young-Je / Ober, Christopher K. / Cao, Heidi B. / Deng, Hai / Meagley, Robert P. et al. | 2004
- 746
-
Single-layer and bilayer resist processes for EUV-type integrations [5376-91]Peters, R. D. / Parker, C. / Cobb, J. / Luckowski, E. / Weisbrod, E. / Dauksher, B. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 746
-
Single-layer and bilayer resist processes for EUV-type integrationsPeters, Richard D. / Parker, Colita / Cobb, Jonathan / Luckowski, Eric / Weisbrod, Eric / Dauksher, Bill et al. | 2004
- 757
-
Sources of line-width roughness for EUV resistsCao, Heidi B. / Yueh, Wang / Rice, Bryan J. / Roberts, Jeanette / Bacuita, Terence / Chandhok, Manish et al. | 2004
- 757
-
Sources of line-width roughness for EUV resists [5376-93]Cao, H. B. / Yueh, W. / Rice, B. J. / Roberts, J. / Bacuita, T. / Chandhok, M. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 765
-
Characterization of outgassing for EUV technologyThirumala, Vani / Cao, Heidi B. / Yueh, Wang / Choi, Hokkin / Golovkina, Victoria / Wallace, John / Nealey, Paul F. / Thielman, Don / Cerrina, Franco et al. | 2004
- 765
-
Characterization of outgassing for EUV technology [5376-94]Thirumala, V. / Cao, H. B. / Yueh, W. / Choi, H. / Golovkina, V. / Wallace, J. / Nealey, P. F. / Thielman, D. / Cerrina, F. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 773
-
Material origins of line-edge roughness: Monte Carlo simulations and scaling analysisPatsis, George P. / Constantoudis, Vassilios / Gogolides, Evangelos et al. | 2004
- 773
-
Material origins of line-edge roughness: Monte Carlo simulations and scaling analysis [5376-96]Patsis, G. P. / Constantoudis, V. / Gogolides, E. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 782
-
Explanation of LER using the concept of gel layer in chemically amplified photoresistsCho, Joon Yeon / Choi, Se Jin / Choi, Yong Jun / Kim, Hong Lae / Kim, Kee Ho et al. | 2004
- 782
-
Explanation of LER using the concept of gel layer in chemically amplified photoresists [5376-99]Cho, J. Y. / Choi, S. J. / Choi, Y. J. / Kim, H. L. / Kim, K. H. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 790
-
Influence of activation energy on LER in chemically amplified KrF photoresists [5376-100]Kim, J. H. / Lee, C. H. / Park, S. B. / Kim, W. M. / Moon, S. S. / Kim, K.-M. / Lee, S. Y. / Yoon, S. / Kim, Y.-H. / Chon, S. M. et al. | 2004
- 790
-
Influence of activation energy on LER in chemically amplified KrF photoresistsKim, Jae Hyun / Lee, Chang Ho / Park, Seok Bong / Kim, Won Mi / Moon, Sang Sik / Kim, Kyung-Mee / Lee, Shi Yong / Yoon, Sangwoong / Kim, Young Ho / Chon, Sang-Mun et al. | 2004
- 801
-
Surface conditioning solutions to reduce resist line roughness [5376-101]Zhang, P. / Jaramillo, M. / Rao, M. B. / Yates, C. / King, D. M. / Ross, B. F. / O Brien, B. L. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 801
-
Surface conditioning solutions to reduce resist line roughnessZhang, Peng / Jaramillo, Manuel / Rao, Madhukar B. / Yates, Colin / King, Danielle M. / Ross, Brenda F. / O'Brien, Bridget L. et al. | 2004
- 807
-
Surface conditioning solutions for pattern collapse reductionZhang, Peng / Jaramillo, Manuel / King, Danielle M. / Rao, Madhukar B. / O'Brien, Bridget L. / Ross, Brenda F. et al. | 2004
- 807
-
Surface conditioning solutions for pattern collapse reduction [5376-103]Zhang, P. / Jaramillo, M. / King, D. M. / Rao, M. B. / O Brien, B. L. / Ross, B. F. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 813
-
Novel rinse process for reducing pattern collapse in 0.30-k1 ArF lithographyLee, Geunsu / Hwang, Young Sun / Ban, Keun Do / Bok, Cheol Kyu / Moon, Seung Chan / Shin, Ki Soo et al. | 2004
- 813
-
Novel rinse process for reducing pattern collapse in 0.30-k1 ArF lithography [5376-104]Lee, G. / Hwang, Y. S. / Ban, K. D. / Bok, C.-K. / Moon, S. C. / Shin, K. S. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 819
-
Effect of the rinse solution to avoid 193-nm resist line collapse: a study for modification of resist polymer and process conditionsMasuda, Seiya / Kobayashi, Masakazu / Kim, Woo-Kyu / Anyadiegwu, Clement / Padmanaban, Munirathna / Dammel, Ralph R. / Tanaka, Keiichi / Yamada, Yoshiaki et al. | 2004
- 819
-
Effect of the rinse solution to avoid 193-nm resist line collapse: a study for modification of resist polymer and process conditions [5376-105]Masuda, S. / Kobayashi, M. / Kim, W.-K. / Anyadiegwu, C. / Padmanaban, M. / Dammel, R. R. / Tanaka, K. / Yamada, Y. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 830
-
Improvement of pattern collapse issue by additive-added D.I water rinse process: IIMiyahara, Osamu / Tanaka, Keiichi / Wakamizu, Shinya / Kitano, Junichi / Yamada, Yoshiaki et al. | 2004
- 830
-
Improvement of pattern collapse issue by additive-added D.I water rinse process: II [5376-106]Miyahara, O. / Tanaka, K. / Wakamizu, S. / Kitano, J. / Yamada, Y. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 842
-
The use of surfactant in the rinse to improve collapse behavior of chemically amplified photoresists [5376-107]Junarsa, I. / Stoykovich, M. P. / Yoshimoto, K. / Nealey, P. F. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 842
-
The use of surfactant in the rinse to improve collapse behavior of chemically amplified photoresistsJunarsa, Ivan / Stoykovich, Mark P. / Yoshimoto, Kenji / Nealey, Paul F. et al. | 2004
- 850
-
Photosensitive copolycarbonates for use as sacrificial materials in the fabrication of microfluidic and microelectromechanical devicesWhite, Celesta E. / Henderson, Clifford L. et al. | 2004
- 850
-
Photosensitive copolycarbonates for use as sacrificial materials in the fabrication of microfluidic and microelectromechanical devices [5376-88]White, C. E. / Henderson, C. L. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 861
-
Mist deposition of thin photoresist filmsMahoney, William J. / Roman, Paul / Mumbauer, Paul / Ruzyllo, Jerzy et al. | 2004
- 861
-
Mist deposition of thin photoresist films [5376-95]Mahoney, W. J. / Roman, P. / Mumbauer, P. / Ruzyllo, J. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 867
-
Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2plasma etchTu, Yuqiang / Chapman, Glenn H. / Peng, Jun et al. | 2004
- 867
-
Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF~4/CHF~3/O~2 plasma etch [5376-102]Tu, Y. / Chapman, G. H. / Peng, J. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 879
-
Influence of writing strategy on CD control for the spatial-light-modulator-based Sigma7300 DUV laser pattern generatorFosshaug, Hans / Askebjer, Per / Karlsson, Johan / Bajramovic, Adisa / Xing, Kezhao / Eklund, Robert / Walford, Jonathan / Ekberg, Mats / Hogfeldt, Peter / Öström, Thomas et al. | 2004
- 879
-
Influence of writing strategy on CD control for the spatial-light-modulator-based Sigma7300 DUV laser pattern generator [5376-108]Fosshaug, H. / Askebjer, P. / Karlsson, J. / Bajramovic, A. / Xing, K. / Eklund, R. / Walford, J. / Ekberg, M. / Hogfeldt, P. / Ostrom, T. et al. | 2004
- 895
-
Simulation of energy deposition for scattering electrons in resist layerTao, Yousong / Zhang, Yulin / Li, Dayao et al. | 2004
- 895
-
Simulation of energy deposition for scattering electrons in resist layer [5376-109]Tao, Y. / Zhang, Y. / Li, D. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 903
-
Nanopatterning on fragile or 3D surfaces with sterol-based vapor-deposited electron beam resist [5376-110]Legario, R. R. / Kelkar, P. S. / Beauvais, J. / Lavallee, E. / Drouin, D. / Cloutier, M. / Turcotte, D. / Yang, P. / Mun, L. K. / Awad, Y. et al. | 2004
- 903
-
Nanopatterning on fragile or 3D surfaces with sterol-based vapor-deposited electron beam resistLegario, Ron R. / Kelkar, Prasad S. / Beauvais, Jacques / Lavallee, Eric / Drouin, Dominique / Cloutier, Melanie / Turcotte, David / Yang, Pan / Mun, Lau K. / Awad, Yousef et al. | 2004
- 915
-
Characterization of new ultrathick chemically amplified positive-tone photoresists suitable for electroplating application [5376-112]Voigt, A. / Heinrich, M. / Gruetzner, G. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 915
-
Characterization of new ultrathick chemically amplified positive-tone photoresists suitable for electroplating applicationVoigt, Anja / Heinrich, Marina / Gruetzner, Gabi et al. | 2004
- 924
-
High-temperature negative resist tunable for new lift-off applications [5376-113]Toukhy, M. A. / Lu, P. H. / Kao, K. / Plass, R. / Chen, C.-H. / Faerber, G. L. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 924
-
High-temperature negative resist tunable for new lift-off applicationsToukhy, Medhat A. / Lu, PingHung / Kao, Kate / Plass, Robert / Chen, Ching-Hui / Faerber, Gerald L. et al. | 2004
- 929
-
Characterization of an ultrathick positive photoresist for electroplating applications [5376-115]Avrit, B. K. / Maxwell, E. W. / Huynh, L. M. / Capsuto, E. S. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 929
-
Characterization of an ultrathick positive photoresist for electroplating applicationsAvrit, Brad K. / Maxwell, Edward W. / Huynh, Lisa M. / Capsuto, Elliott S. et al. | 2004
- 939
-
Evaluation of resist-film property by scan- and spin-coating [5376-116]Shinya, H. / Ishii, T. / Wakamoto, Y. / Sugimoto, S. / Kitano, T. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 939
-
Evaluation of resist-film property by scan- and spin-coatingShinya, Hiroshi / Ishii, Takayuki / Wakamoto, Yukihiro / Sugimoto, Shinichi / Kitano, Takahiro et al. | 2004
- 951
-
Application of polysilazane to etch mask in pattern transfer processes for deep- and vacuum-UV lithographySato, Yasuhiko / Abe, Junko / Shibata, Tsuyoshi et al. | 2004
- 951
-
Application of polysilazane to etch mask in pattern transfer processes for deep- and vacuum-UV lithography [5376-117]Sato, Y. / Abe, J. / Shibata, T. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 959
-
E-beam proximity effect parameters for sub-100-nm featuresMountfield, Keith R. / Eckert, Andrew R. / Yang, XiaoMin / Johns, Earl C. et al. | 2004
- 959
-
E-beam proximity effect parameters for sub-100-nm features [5376-122]Mountfield, K. R. / Eckert, A. R. / Yang, X. / Johns, E. C. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 967
-
Electron-beam-assisted resist sidewall angle control and its applicationsChang, Jei-Wei / Chen, Chao-Peng et al. | 2004
- 967
-
Electron-beam-assisted resist sidewall angle control and its applications [5376-123]Chang, J.-W. / Chen, C.-P. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 975
-
Simulation technique for the PR flow process using a new viscous flow modelChung, Won-Young / Kim, Tai-Kyung / Yoon, Jin-Young / Kim, Hyun-Woo / Park, Young-Kwan / Kong, Jeong-Taek et al. | 2004
- 975
-
Simulation technique for the PR flow process using a new viscous flow model [5376-35]Chung, W.-Y. / Kim, T.-K. / Yoon, J.-Y. / Kim, H.-W. / Park, Y.-K. / Kong, J.-T. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 983
-
Do we need complex resist models for predictive simulation of lithographic process performance? [5376-111]Tollkuhn, B. / Erdmann, A. / Lammers, J. / Nolscher, C. / Semmler, A. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 983
-
Do we need complex resist models for predictive simulation of lithographic process performance?Tollkuhn, Bernd / Erdmann, Andreas / Lammers, Jeroen / Nolscher, Christoph / Semmler, Armin et al. | 2004
- 995
-
Chemically amplified photoresist characterization using interdigitated electrodes: an improved method for determining the Dill C parameter [5376-124]Berger, C. M. / Henderson, C. L. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 995
-
Chemically amplified photoresist characterization using interdigitated electrodes: an improved method for determining the Dill C parameterBerger, Cody M. / Henderson, Clifford L. et al. | 2004
- 1007
-
Effect of film thickness on the dissolution rate behavior of photoresist polymer thin filmsSingh, Lovejeet / Ludovice, Peter J. / Henderson, Clifford L. et al. | 2004
- 1007
-
Effect of film thickness on the dissolution rate behavior of photoresist polymer thin films [5376-125]Singh, L. / Ludovice, P. J. / Henderson, C. L. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1017
-
Improved performance of Apex-E photoresist with the application of the electric-field-enhanced PEBPoppe, Jacob / Neureuther, Andrew R. et al. | 2004
- 1017
-
Improved performance of Apex-E photoresist with the application of the electric-field-enhanced PEB [5376-127]Poppe, J. / Neureuther, A. R. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1023
-
Fast resist modeling and its application in 193-nm lithography [5376-128]Yuan, L. / Neureuther, A. R. / Croffie, E. H. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1023
-
Fast resist modeling and its application in 193-nm lithographyYuan, Lei / Neureuther, Andrew R. / Croffie, Ebo H. et al. | 2004
- 1031
-
Methodology and practical application of an ArF resist model calibration [5376-129]Ziebold, R. / Kuchler, B. / Nolscher, C. / Rossiger, M. / Elian, K. / Tollkuhn, B. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1031
-
Methodology and practical application of an ArF resist model calibrationZiebold, Ralf / Kuchler, Bernd / Nolscher, Christoph / Robiger, Martin / Elian, Klaus / Tollkuhn, Bernd et al. | 2004
- 1040
-
Study of proximity lithography simulations using measurements of dissolution rate and calculation of the light intensity distributions in the photoresist [5376-130]Sensu, Y. / Isono, M. / Sekiguchi, A. / Kadoi, M. / Matsuzawa, T. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1040
-
Study of proximity lithography simulations using measurements of dissolution rate and calculation of the light intensity distributions in the photoresistSensu, Yoshihisa / Isono, Mariko / Sekiguchi, Atsushi / Kadoi, Mikio / Matsuzawa, Toshiharu et al. | 2004
- 1053
-
Effect of photoacid generator additives on the dissolution behavior of bis-trifluoromethyl carbinol substituted polynorborneneHoskins, Trevor / Berger, Cody M. / Ludovice, Peter J. / Henderson, Clifford L. / Seger, Larry D. / Chang, Chun / Rhodes, Larry F. et al. | 2004
- 1053
-
Effect of photoacid generator additives on the dissolution behavior of bis-trifluoromethyl carbinol substituted polynorbornene [5376-159]Hoskins, T. / Berger, C. M. / Ludovice, P. J. / Henderson, C. L. / Seger, L. D. / Chang, C. / Rhodes, L. F. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1064
-
Advances in resist pattern transfer process using 157-nm lithography [5376-131]Furukawa, T. / Hagiwara, T. / Kawaguchi, E. / Matsunaga, K. / Suganaga, T. / Itani, T. / Fujii, K. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1064
-
Advances in resist pattern transfer process using 157-nm lithographyFurukawa, Takamitsu / Hagiwara, Takuya / Kawaguchi, Etsurou / Matsunaga, Kentaro / Suganaga, Toshifumi / Itani, Toshiro / Fujii, Kiyoshi et al. | 2004
- 1074
-
Airborne contamination control for 157-nm lithography: influence of ammonia contaminationMatsui, Hidefumi / Kitano, Junichi / Yoshihara, Kosuke / Kawaguchi, Etsurou / Furukawa, Takamitsu / Matsunaga, Kentaro / Itani, Toshiro / Fujii, Kiyoshi et al. | 2004
- 1074
-
Airborne contamination control for 157-nm lithography: influence of ammonia contamination [5376-132]Matsui, H. / Kitano, J. / Yoshihara, K. / Kawaguchi, E. / Furukawa, T. / Matsunaga, K. / Itani, T. / Fujii, K. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1082
-
Realization of sub-80-nm small-space patterning in ArF photolithography [5376-136]Kim, S.-H. / Kim, H.-D. / Lee, S.-H. / Park, C.-M. / Ryoo, M.-H. / Yeo, G.-S. / Lee, J.-H. / Cho, H.-K. / Han, W.-S. / Moon, J.-T. et al. | 2004
- 1082
-
Realization of sub-80-nm small-space patterning in ArF photolithographyKim, Si-Hyun / Kim, Hyung-Do / Lee, Si-Hyeung / Park, Chang-Min / Ryoo, Man-Hyoung / Yeo, Gi-Sung / Lee, Jung-Hyeon / Cho, Han-Ku / Han, Woo-Sung / Moon, Joo-Tae et al. | 2004
- 1091
-
How to print 100-nm contact hole with low-NA 193-nm lithographyLin, Shang-ho / Teng, Jui-mei / Chen, Jian-hong / Chen, Chun-hua / Ho, Bang-ching et al. | 2004
- 1091
-
How to print 100-nm contact hole with low-NA 193-nm lithography [5376-137]Lin, S. / Teng, J. / Chen, J. / Chen, C. / Ho, B. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1100
-
Performance of a SSQ-type ArF bilayer resist in 80-nm node DRAM line and space fabricationJung, Myoung-Ho / Kim, Hyun-Woo / Hong, Jin / Woo, Sang-Gyun / Cho, Han-Ku / Han, Woo-Sung et al. | 2004
- 1100
-
Performance of a SSQ-type ArF bilayer resist in 80-nm node DRAM line and space fabrication [5376-138]Jung, M.-H. / Kim, H.-W. / Hong, J. / Woo, S.-G. / Cho, H.-K. / Han, W.-S. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1107
-
Novel transparent PAGs for 193-nm resists [5376-140]Kodama, K. / Sato, K. / Tan, S. / Nishiyama, F. / Yamanaka, T. / Kanna, S. / Takahashi, H. / Kawabe, Y. / Momota, M. / Kokubo, T. et al. | 2004
- 1107
-
Novel transparent PAGs for 193-nm resistsKodama, Kunihiko / Sato, Kenichiro / Tan, Shiro / Nishiyama, Fumiyuki / Yamanaka, Tsukasa / Kanna, Shinichi / Takahashi, Hyou / Kawabe, Yasumasa / Momota, Makoto / Kokubo, Tadayoshi et al. | 2004
- 1115
-
Evaluation of puddle time effect and optimization of development process in 193-nm lithographyLee, Hyung-Rae / Shin, Jangho / Kim, Hyun-Woo / Woo, Sang-Gyun / Cho, Han-Ku / Han, Woo-Sung et al. | 2004
- 1115
-
Evaluation of puddle time effect and optimization of development process in 193-nm lithography [5376-142]Lee, H.-R. / Shin, J. / Kim, H.-W. / Woo, S.-G. / Cho, H.-K. / Han, W.-S. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1123
-
Influence of backbone chemistry on the post-exposure bake temperature sensitivity of 193-nm photoresistsBae, Young C. / Ogawa, Teruaki / Kavanagh, Robert J. / Kobayashi, Tatum / Lindsay, Tracy / Tanaka, Tsutomu / Xu, Cheng Bai / Orsula, George / DeSisto, Jason / Hellion, Marie et al. | 2004
- 1123
-
Influence of backbone chemistry on the post-exposure bake temperature sensitivity of 193-nm photoresists [5376-143]Bae, Y. C. / Ogawa, T. / Kavanagh, R. J. / Kobayashi, T. / Lindsay, T. / Tanaka, T. / Xu, C. B. / Orsula, G. / DeSisto, J. / Hellion, M. et al. | 2004
- 1131
-
PEB sensitivity studies of ArF resists: II. Polymer and solvent effectsHong, Chi-Sun / Lee, Sang-Ho / Kim, Woo-Kyu / Kudo, Takanori / Timko, Allen / Mckenzie, Douglas / Anyadiegwu, Clement / Rahman, Dalil M. / Lin, Guanyang / Dammel, Ralph R. et al. | 2004
- 1131
-
PEB sensitivity studies of ArF resists: II. Polymer and solvent effects [5376-144]Hong, C.-S. / Lee, S.-H. / Kim, W.-K. / Kudo, T. / Timko, A. / McKenzie, D. / Anyadiegwu, C. / Rahman, D. M. / Lin, G. / Dammel, R. R. et al. | 2004
- 1138
-
New materials for 193-nm trilayer imaging [5376-145]Meador, J. D. / Holmes, D. / Nagatkina, M. I. / Puligadda, R. / Gum, D. / Bennett, R. / Sun, S. X. / Enomoto, T. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1138
-
New materials for 193-nm trilayer imagingMeador, Jim D. / Holmes, Doug / Nagatkina, Mariya I. / Puligadda, Rama / Gum, Denise / Bennett, Randy / Sun, Sam X. / Enomoto, Tomoyuki et al. | 2004
- 1149
-
Microlens-induced pattern defect in DUV resist [5376-147]Tsai, S.-F. / Chen, C.-Y. / Chang, C.-C. / Huang, T.-W. / Gao, H.-Y. / Ku, C.-Y. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1149
-
Microlens-induced pattern defect in DUV resistTsai, Shu-Fen / Chen, Chih-You / Chang, Chih-Chuan / Huang, Tai-Wei / Gao, Hann-Yii / Ku, Chin-Yu et al. | 2004
- 1157
-
Effect of PEB exhaust on resist CD for DUV process [5376-148]Tsai, S.-F. / Chiu, Y.-S. / Chien, C.-H. / Gao, H.-Y. / Ku, C.-Y. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1157
-
Effect of PEB exhaust on resist CD for DUV processTsai, Shu-Fen / Chiu, Yuh-Shyang / Chien, Chih-Horng / Gao, Hann-Yii / Ku, Chin-Yu et al. | 2004
- 1165
-
Combinitorial resist processing studies [5376-149]Larson, C. E. / Wallraff, G. M. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1165
-
Combinitorial resist processing studiesLarson, Carl E. / Wallraff, Gregory M. et al. | 2004
- 1174
-
Optimized acid release underlayers for 157-nm lithography [5376-150]Larson, C. E. / Wallraff, G. M. / Johnson, L. / Brock, P. J. / Sundberg, L. K. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1174
-
Optimized acid release underlayers for 157-nm lithographyLarson, Carl E. / Wallraff, Gregory M. / Johnson, L. / Brock, Phillip J. / Sundberg, Linda K. et al. | 2004
- 1181
-
Rapid stripping of thick negative-tone acrylic photoresists for semiconductor BEOL applicationsMoore, John C. / Fender, Bruce J. / Huenger, Eric C. et al. | 2004
- 1181
-
Rapid stripping of thick negative-tone acrylic photoresists for semiconductor BEOL applications [5376-160]Moore, J. C. / Fender, B. J. / Huenger, E. C. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1190
-
Contrast enhancement materials for thick photoresist applications [5376-164]Flack, W. W. / Nguyen, H.-A. / Buchanan, J. / Capsuto, E. S. / Marks, A. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1190
-
Contrast enhancement materials for thick photoresist applicationsFlack, Warren W. / Nguyen, Ha-Ai / Buchanan, Jim / Capsuto, Elliott S. / Marks, Alan et al. | 2004
- 1206
-
Behavior of chemically amplified resist defects in TMAH solution: III [5376-151]Ono, Y. / Shimoaoki, T. / Naito, R. / Kitano, J. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1206
-
Behavior of chemically amplified resist defects in TMAH solution: IIIOno, Yuko / Shimoaoki, Takeshi / Naito, Ryoichiro / Kitano, Junichi et al. | 2004
- 1215
-
Optimized filtration for reduced defectivity and improved dispense recipe in 193-nm BARC lithographyDo, Phong / Pender, Joe / Lehmann, Thomas / Mc Ardle, Leo P. / Gotlinsky, Barry / Mesawich, Michael et al. | 2004
- 1215
-
Optimized filtration for reduced defectivity and improved dispense recipe in 193-nm BARC lithography [5376-152]Do, P. / Pender, J. / Lehmann, T. / Mc Ardle, L. P. / Gotlinsky, B. / Mesawich, M. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1223
-
Mechanisms of defect generation in chemically amplified resist processes [5376-154]Shimoaoki, T. / Naito, R. / Kitano, J. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1223
-
Mechanisms of defect generation in chemically amplified resist processesShimoaoki, Takeshi / Naito, Ryoichiro / Kitano, Junichi et al. | 2004
- 1233
-
A novel wafer baking system using hot air streams [5376-155]Wang, L. / Chow, S. L. / Loh, A. P. / Gong, Z. M. / Tan, W. W. / Tay, A. E. B. / Ho, W. K. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1233
-
A novel wafer baking system using hot air streamsWang, Lan / Chow, Siew Loong / Loh, Ai Poh / Gong, Zhi Ming / Tan, Woei Wan / Tay, Arthur E. B. / Ho, Weng Khuen et al. | 2004
- 1243
-
Short develop time process with novel develop application system [5376-156]Sanada, M. / Tamada, O. / Harumoto, M. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1243
-
Short develop time process with novel develop application systemSanada, Masakazu / Tamada, Osamu / Harumoto, Masahiko et al. | 2004
- 1255
-
Necessity of chemical edge bead removal in modern-day lithographic processingJekauc, Igor / Watt, Michael / Hornsmith, Trip / Tiffany, Jason et al. | 2004
- 1255
-
Necessity of chemical edge bead removal in modern-day lithographic processing [5376-157]Jekauc, I. / Watt, M. / Hornsmith, T. / Tiffany, J. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1264
-
Critical dimension control in 90nm - 65nm nodeTerashita, Yuichi / Shizukuishi, Mamoko / Shite, Hideo / Kyoda, Hideharu / Oshima, Kazuhiko / Yoshihara, Kosuke et al. | 2004
- 1264
-
Critical dimension control in 90-nm to 65-nm node [5376-158]Terashita, Y. / Shizukuishi, M. / Shite, H. / Kyoda, H. / Oshima, K. / Yoshihara, K. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1274
-
Critical dimension control for prevention of wafer-to-wafer and module-to-module difference [5376-163]Deguchi, M. / Tanaka, K. / Nagatani, N. / Miyata, Y. / Yamashita, M. / Minami, Y. / Matsuyama, Y. / Society of Photo-optical Instrumentation Engineers et al. | 2004
- 1274
-
Critical dimension control for prevention of wafer-to-wafer and module-to-module differenceDeguchi, Masatoshi / Tanaka, Kouichirou / Nagatani, Naohiko / Miyata, Yuichiro / Yamashita, Mitsuo / Minami, Yoshiaki / Matsuyama, Yuji et al. | 2004
- 1282
-
Understanding the role of base quenchers in photoresistsMichaelson, Timothy B. / Jamieson, Andrew T. / Pawloski, Adam R. / Byers, Jeffrey / Acheta, Alden / Willson, C. G. et al. | 2004