32-nm pattern collapse modeling with radial distance and rinse speed [6519-158] (Englisch)
- Neue Suche nach: Kim, J.-S.
- Neue Suche nach: Chang, W.
- Neue Suche nach: Park, S.-W.
- Neue Suche nach: Oh, H.-K.
- Neue Suche nach: Lee, S.-J.
- Neue Suche nach: Kim, S.-H.
- Neue Suche nach: Society of Photo-optical Instrumentation Engineers
- Neue Suche nach: SEMATECH, Inc
- Neue Suche nach: Kim, J.-S.
- Neue Suche nach: Chang, W.
- Neue Suche nach: Park, S.-W.
- Neue Suche nach: Oh, H.-K.
- Neue Suche nach: Lee, S.-J.
- Neue Suche nach: Kim, S.-H.
- Neue Suche nach: Lin, Qinghuang
- Neue Suche nach: Society of Photo-optical Instrumentation Engineers
- Neue Suche nach: SEMATECH, Inc
In:
Advances in resist materials and processing technology
;
65193Y
;
2007
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Print
-
Titel:32-nm pattern collapse modeling with radial distance and rinse speed [6519-158]
-
Beteiligte:Kim, J.-S. ( Autor:in ) / Chang, W. ( Autor:in ) / Park, S.-W. ( Autor:in ) / Oh, H.-K. ( Autor:in ) / Lee, S.-J. ( Autor:in ) / Kim, S.-H. ( Autor:in ) / Lin, Qinghuang / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc
-
Kongress:Conference; 24th, Advances in resist materials and processing technology ; 2007 ; San Jose, CA
-
Erschienen in:
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsort:Bellingham, Wash.
-
Erscheinungsdatum:01.01.2007
-
Format / Umfang:65193Y
-
Anmerkungen:Held as part of the International symposium on advanced lithography,Includes bibliographical references and author index
-
ISBN:
-
ISSN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 65190A
-
Screening of second-generation high-index liquidsHendrickx, Eric / Postnikov, Sergei / Foubert, Philippe / Gronheid, Roel / Kim, ByeongSoo et al. | 2007
- 65190B
-
High-refractive index material design for ArF immersion lithographyFurukawa, Taiichi / Kishida, Takanori / Miyamatsu, Takashi / Kawaguchi, Kazuo / Yamada, Kinji / Tominaga, Tetsuo / Slezak, Mark / Hieda, Katsuhiko et al. | 2007
- 65190C
-
Adapting immersion exposure to mass production by adopting a cluster of novel resist-coating/developing and immersion-exposure equipmentFujiwara, Tomoharu / Ishikawa, Jun / Kawakubo, Tadamasa / Ishii, Yuuki / Kyoda, Hideharu / Wakamizu, Shinya / Shimoaoki, Takeshi et al. | 2007
- 65190D
-
Immersion defectivity control by optimizing immersion materials and processesNakano, Katsushi / Kato, Hiroshi / Owa, Soichi et al. | 2007
- 65190E
-
Measurement and evaluation of water uptake by resists, top coats, stacks, and correlation with watermark defectsFoubert, Philippe / Kocsis, Michael / Gronheid, Roel / Kishimura, Shinji / Soyano, Akimasa / Nafus, Kathleen / Stepanenko, Nickolay / De Backer, Johan / Vandenbroeck, Nadia / Ercken, Monique et al. | 2007
- 65190F
-
High-performance 193nm photoresists based on fluorosulfonamideLi, Wenjie / Chen, Kuang-Jung / Kwong, Ranee / Lawson, Margaret C. / Khojasteh, Mahmoud / Popova, Irene / Varanasi, P. Rao / Shimokawa, Tsutomu / Yamaguchi, Yoshikazu / Kusumoto, Shiro et al. | 2007
- 65190G
-
Novel diamantane polymer platform for enhanced etch resistancePadmanaban, Munirathna / Chakrapani, Srinivasan / Lin, Guanyang / Kudo, Takanori / Parthasarathy, Deepa / Rahman, Dalil / Anyadiegwu, Clement / Antonio, Charito / Dammel, Ralph R. / Liu, Shenggao et al. | 2007
- 65190H
-
Hybrid optical: electron-beam resistsLennon, D. M. / Spector, S. J. / Fedynyshyn, T. H. / Lyszczarz, T. M. / Rothschild, M. / Thackeray, J. / Spear-Alfonso, K. et al. | 2007
- 65190I
-
Evaluation of immersion lithography processes for 55-nm node logic devicesNakata, Taisaku / Kodama, Teruhiko / Komori, Motofumi / Onoda, Naka / Uchiyama, Takayuki et al. | 2007
- 65190J
-
Photo-deprotection resist based on photolysis of o-nitrobenzyl phenol ether: challenge to half-pitch 22 nm using near-field lithographyIto, T. / Terao, A. / Inao, Y. / Yamaguchi, T. / Mizutani, N. et al. | 2007
- 65190K
-
Enhancing photoresist performance with an adhesion promoting photo-acid generatorSharma, Shalini / Meagley, Robert P. et al. | 2007
- 65190L
-
Second-generation radiation sensitive developable bottom anti-reflective coatings (DBARC) and implant resists approaches for 193-nm lithographyHoulihan, Francis / Dioses, Alberto / Toukhy, Medhat / Romano, Andrew / Oberlander, Joseph / Wu, HengPeng / Mullen, Salem / Krawicz, Alexandra / Lu, PingHung / Neisser, Mark et al. | 2007
- 65190M
-
Spin-on tri-layer approaches to high NA 193-nm lithographyAbdallah, D. J. / McKenzie, D. / Timko, A. / Dioses, A. / Houlihan, F. / Rahman, D. / Miyazaki, S. / Zhang, R. / Kim, W. / Wu, H. et al. | 2007
- 65190N
-
Progress of hard mask material for multi-layer stack applicationHarada, Hisanobu / Yonemura, Koji / Tanaka, Takeshi / Kawana, Daisuke / Yamashita, Naoki / Ohmori, Katsumi et al. | 2007
- 65190O
-
Silicon-based anti-reflective spin-on hardmask materials with improved storage stability for 193-nm lithographyKim, Sang Kyun / Lim, Sang Hak / Kim, Do-hyeon / Koh, Sang Ran / Kim, Mi-young / Yoon, Hui Chan / Uh, Dong Seon / Kim, Jong Seob / Chang, Tuwon et al. | 2007
- 65190P
-
Novel developers for positive tone EUV photoresistsSharma, Geeta / Sharma, Shalini / Rattner, Michael / Meagley, Robert P. / Tanaka, Masato / Shimokawa, Tsutomu / Sugita, Hikaru / Wang, Tina / Shiota, Atsushi et al. | 2007
- 65190Q
-
The application of high-refractive index photoresist for 32-nm device level imagingConley, Will et al. | 2007
- 65190R
-
Performance of chemically amplified resists at half-pitch of 45 nm and belowWei, Yayi / Bender, Markus / Domke, Wolf-Dieter / Laessig, Antje / Sebald, Michael / Trogisch, Sven / Back, David et al. | 2007
- 65190S
-
Evaluation of ArF lithography for 45-nm node implant layersBailey, T. C. / Maynollo, J. / Perez, J. J. / Popova, I. / Zhang, B. et al. | 2007
- 65190T
-
Characterization of photo-acid redeposition in 193-nm photoresistsWallow, Thomas / Plat, Marina / Zhang, Zhanping / MacDonald, Brian / Bernard, Joffre / Romero, Jeremias / La Fontaine, Bruno / Levinson, Harry J. et al. | 2007
- 65190U
-
A novel plasma-assisted shrink process to enlarge process windows of narrow trenches and contacts for 45-nm node applications and beyondOp de Beeck, Maaike / Versluijs, Janko / Tőkei, Zsolt / Demuynck, Steven / De Marneffe, J.-F. / Boullart, Werner / Vanhaelemeersch, Serge / Zhu, Helen / Cirigliano, Peter / Pavel, Elizabeth et al. | 2007
- 65190V
-
A novel method for characterizing resist performanceVan Steenwinckel, D. / Gronheid, R. / Lammers, J. H. / Meyers, A. M. / Van Roey, F. / Willems, P. et al. | 2007
- 65190W
-
The tri-lateral challenge of resolution, photospeed, and LER: scaling below 50nm?Bristol, R. L. et al. | 2007
- 65190X
-
PAG segregation during exposure affecting innate material roughnessFedynyshyn, Theodore H. / Astolfi, David K. / Cabral, Alberto / Roberts, Jeanette et al. | 2007
- 65190Y
-
Mechanistic simulation of line-edge roughnessBiafore, John J. / Smith, Mark D. / Robertson, Stewart A. / Graves, Trey et al. | 2007
- 65190Z
-
The characterization of photoresist for accurate simulation beyond Gaussian diffusionWang, Lei / Wu, Peng / Wu, Qiang / Ding, Hua / Li, Xin / Sun, Changjiang et al. | 2007
- 65191A
-
Impact of line-width roughness on Intel's 65-nm process devicesChandhok, Manish / Datta, Suman / Lionberger, Daniel / Vesecky, Scott et al. | 2007
- 65191C
-
Impact of curing kinetics and materials properties on imprint characteristics of resists for UV nano-imprint lithographyHoule, Frances A. / Fornof, Ann / Sooriyakumaran, Ratnam / Truong, Hoa / Miller, Dolores C. / Sanchez, Martha I. / Davis, Blake / Magbitang, Teddie / Allen, Robert D. / Hart, Mark W. et al. | 2007
- 65191D
-
Material design of negative-tone polyphenol resist for EUV and EB lithographyKojima, Kyoko / Mori, Shigeki / Shiono, Daiju / Hada, Hideo / Onodera, Junichi et al. | 2007
- 65191E
-
Photosensitivity and line-edge roughness of novel polymer-bound PAG photoresistsLee, Cheng-Tsung / Wang, Mingxing / Jarnagin, Nathan D. / Gonsalves, Kenneth E. / Roberts, Jeanette M. / Yueh, Wang / Henderson, Clifford L. et al. | 2007
- 65191F
-
Novel anionic photoacid generator (PAGs) and photoresist for sub-50-nm patterning by EUVL and EBLWang, Mingxing / Lee, Cheng-Tsung / Henderson, Clifford L. / Yueh, Wang / Roberts, Jeanette M. / Gonsalves, Kenneth E. et al. | 2007
- 65191H
-
Self-aligned self-assembled organosilicate line patterns of ~20nm half-pitch from block-copolymer mediated self assemblyKim, Ho-Cheol / Cheng, Joy / Rettner, Charles / Park, Oun-Ho / Miller, Robert / Hart, Mark / Sundström, Linnea / Zhang, Ying et al. | 2007
- 65191I
-
Gray-scale lithography of photosensitive polyimide and its graphitizationAkbar, S. / Imhoff, E. / Kub, F. et al. | 2007
- 65191J
-
A novel top surface imaging approach utilizing direct-area selective atomic layer deposition of hardmasksSinha, Ashwini / Hess, Dennis W. / Henderson, Clifford L. et al. | 2007
- 65191K
-
Novel photodefinable low-k dielectric polymers based on polybenzoxazinesRomeo, Michael / Yamanaka, Kazuhiro / Maeda, Kazuhiko / Henderson, Clifford L. et al. | 2007
- 65191L
-
Patterning of biomolecules on a biocompatible nonchemically amplified resistKim, Jin-Baek / Ganesan, Ramakrishnan / Yoo, So Young / Choi, Jae-Hak / Lee, Sang Yup et al. | 2007
- 65191M
-
Resist evaluation for EUV application at ASETGoo, Doohoon / Tanaka, Yuusuke / Kikuchi, Yukiko / Oizumi, Hiroaki / Nishiyama, Iwao et al. | 2007
- 65191N
-
Molecular glass photoresists containing photoacid generator functionality: a route to a single-molecule photoresistLawson, Richard A. / Lee, Cheng-Tsung / Whetsell, Robert / Yueh, Wang / Roberts, Jeanette / Tolbert, Laren / Henderson, Clifford L. et al. | 2007
- 65191O
-
The resist materials study for the outgassing reduction and LWR improvement in EUV lithographyMasuda, Seiya / Kamimura, Sou / Hirano, Shuuji / Hoshino, Wataru / Mizutani, Kazuyoshi et al. | 2007
- 65191P
-
An analysis of EUV-resist outgassing measurementsDean, Kim R. / Nishiyama, Iwao / Oizumi, Hiroaki / Keen, Anthony / Cao, Heidi / Yueh, Wang / Watanabe, Takeo / Lacovig, Paolo / Rumiz, Luca / Denbeaux, Gregory et al. | 2007
- 65191Q
-
Contact angles and structure/surface property relationships of immersion materialsSundberg, Linda K. / Sanders, Daniel P. / Sooriyakumaran, Ratnam / Brock, Phillip J. / Allen, Robert D. et al. | 2007
- 65191S
-
Mechanism of immersion specific defects with high receding-angle topcoatTerai, Mamoru / Kumada, Teruhiko / Ishibashi, Takeo / Hagiwara, Takuya / Hanawa, Tetsuro / Ando, Tomoyuki / Matsunobe, Takeshi / Okada, Kazuyuki / Muraji, Yuichi / Yoshikawa, Kazuhiro et al. | 2007
- 65191T
-
Defectivity reduction studies for ArF immersion lithographyMatsunaga, Kentaro / Kondoh, Takehiro / Kato, Hirokazu / Kobayashi, Yuuji / Hayasaki, Kei / Ito, Shinichi / Yoshida, Akira / Shimura, Satoru / Kawasaki, Tetsu / Kyoda, Hideharu et al. | 2007
- 65191V
-
Study on the reduction of defects in immersion lithographyBan, Keundo / Park, Sarohan / Bok, Cheolkyu / Lim, Heeyoul / Heo, Junggun / Chun, Hyunsook / Kang, Junghyun / Moon, Seungchan et al. | 2007
- 65191W
-
Modified polymer architecture for immersion lithographyKim, Sang Soo / Kim, Jeong Woo / Lee, Jung Youl / Oh, Seung Keun / Lee, Sang Hyang / Kim, Jung Woo / Lee, Jae Woo / Kim, Deog bae / Kim, Jaehyun / Ban, Keun Do et al. | 2007
- 65191X
-
Tailoring surface properties of ArF resists thin films with functionally graded materials (FGM)Takemoto, Ichiki / Ando, Nobuo / Edamatsu, Kunishige / Fuji, Yusuke / Kuwana, Koji / Hashimoto, Kazuhiko / Funase, Junji / Yokoyama, Hiroyuki et al. | 2007
- 65191Y
-
Development of top coat materials for ArF immersion lithographyTakebe, Yoko / Shirota, Naoko / Sasaki, Takashi / Yokokoji, Osamu et al. | 2007
- 65191Z
-
Transfer mechanism of defects on topcoat to resist pattern in immersion lithography process and effects on etching processTakahashi, Nobuhiro / Shimura, Satoru / Kawasaki, Tetsu et al. | 2007
- 65192A
-
Multilayer BARCs for hyper-NA immersion lithography processSakaida, Yasushi / Nakajima, Makoto / Kimura, Shigeo / Sakaguchi, Takahiro / Hashimoto, Keisuke / Imamura, Hikaru et al. | 2007
- 65192B
-
Initial process evaluation for next generation immersion technology nodeTomita, Tadatoshi / Nafus, Kathleen / Hatakeyama, Shinichi / Kosugi, Hitoshi / Enomoto, Masashi / Inoue, Shin / Ruck, Kirsten / Weichert, Heiko / Mantecon, Mireia Blanco / Stegen, Raf et al. | 2007
- 65192C
-
Novel polymeric anionic photo-acid generators (PAGs) and photoresists for sub-100-nm patterning by 193-nm lithographyWang, Mingxing / Jarnagin, Nathan D. / Yueh, Wang / Roberts, Jeanette M. / Tapia-Tapia, Melina / Batina, Nikola / Gonsalves, Kenneth E. et al. | 2007
- 65192E
-
Development of nanocomposite resists with high plasma etch resistanceChen, Chunwei / Zhuang, Hong / Lu, Ping-Hung / Neisser, Mark / Pawlowski, Georg et al. | 2007
- 65192F
-
Chemical composition distribution analysis of photoresist copolymers and influence on ArF lithographic performanceMomose, Hikaru / Yasuda, Atsushi / Ueda, Akifumi / Iseki, Takayuki / Ute, Koichi / Nishimura, Takashi / Nakagawa, Ryo / Kitayama, Tatsuki et al. | 2007
- 65192G
-
Single component chemically-amplified resist based on dehalogenation of polymerYamamoto, Hiroki / Kozawa, Takahiro / Tagawa, Seiichi / Ohmori, Katsumi / Sato, Mitsuru / Komano, Hiroji et al. | 2007
- 65192H
-
Novel 193-nm positive photoresist composed of ester acetal polymer without phenyl groupWang, Liyuan / Zhai, Xiaoxiao / Huo, Yongen et al. | 2007
- 65192I
-
Two-component photoresists based on acidolytic cleavage of novel ester acetal polymerWang, Liyuan / Chu, Zhanxing / Cheng, Long et al. | 2007
- 65192J
-
Nonchemically amplified resists for deep-UV lithographyGanesan, Ramakrishnan / Kim, Sumin / Youn, Seul Ki / Cho, Youngook / Yun, Jei-Moon / Kim, Jin-Baek et al. | 2007
- 65192L
-
Non-ionic photoacid generators for chemically amplified resists: evaluation results on the application-relevant propertiesAsakura, Toshikage / Yamato, Hitoshi / Nishimae, Yuichi / Ohwa, Masaki et al. | 2007
- 65192M
-
PAG distribution and acid thermal diffusion study in ultra-thick chemically amplified resist filmsToukhy, Medhat / Paunescu, Margareta / Chen, Chunwei / Pawlowski, Georg et al. | 2007
- 65192N
-
Study of 193-nm resist degradation under various etch chemistriesBazin, Arnaud / May, Michael / Pargon, Erwine / Mortini, Benedicte / Joubert, Olivier et al. | 2007
- 65192O
-
Development of high-performance multi-layer resist process with hardening treatmentOno, Yoshiharu / Ishibashi, Takeo / Yamaguchi, Atsumi / Hanawa, Tetsuro / Tadokoro, Masahiro / Yoshikawa, Kazunori / Yonekura, Kazumasa / Matsuda, Keiko / Matsunobe, Takeshi / Fujii, Yasushi et al. | 2007
- 65192P
-
Correlation between etching and optical properties of organic films for multilayer resistSoda, E. / Koba, F. / Kondo, S. / Ogawa, S. / Saito, S. et al. | 2007
- 65192Q
-
Rework/stripping of multilayer materials for FEOL and BEOL integration using single wafer tool techniquesTurner, Stephen et al. | 2007
- 65192R
-
Spin-on organic hardmask materials in 70nm devicesOh, Chang-Il / Uh, Dong-Seon / Kim, Do-Hyeon / Lee, Jin-Kuk / Yun, Hui-Chan / Nam, Irina / Kim, Min-Soo / Yoon, Kyong-Ho / Hyung, Kyung-Hee / Tokareva, Nataliya et al. | 2007
- 65192S
-
Materials for and performance of multilayer lithography schemesWeimer, Marc / Wang, Yubao / Neef, Charles J. / Claypool, James / Edwards, Kevin / Zu, Zhimin et al. | 2007
- 65192T
-
Advanced developer-soluble gap-fill materials and applicationsHuang, Runhui / Sullivan, Dan / Qin, Anwei / Brown, Shannon et al. | 2007
- 65192U
-
Novel low-reflective index fluoropolymers-based top anti-reflective coatings (TARC) for 193-nm lithographyYamashita, Tsuneo / Hayami, Takashi / Ishikawa, Takuji / Kanemura, Takashi / Aoyama, Hirokazu et al. | 2007
- 65192V
-
Effect of solvents and cross-link reaction group concentration on via filling performance in gap fill materialsTakei, Satoshi / Sakaida, Yasushi / Shinjo, Tetsuya et al. | 2007
- 65192W
-
Optimization of hardmask for dual anti-reflection layersKim, Ju-Hyun / Kim, Jeahee / Kim, Keeho et al. | 2007
- 65192X
-
A novel approach to developer-soluble anti-reflective coatings for 248-nm lithographyMercado, Ramil-Marcelo L. / Lowes, Joyce A. / Washburn, Carlton A. / Guerrero, Douglas J. et al. | 2007
- 65192Y
-
Optimization of material and process parameter for minimizing defect in implementation of MFHM processLee, Kilyoung / Heo, Junggun / Ban, Keundo / Seo, Hyungsuk / Lee, Geunsu / Kim, Wonkyu / Cho, Junhee / Sun, Junhyeub / Lee, Sungkwon / Bok, Cheolkyu et al. | 2007
- 65192Z
-
A novel 248-nm wet-developable BARC for trench applicationsNeef, Charles J. / Thomas, Debra et al. | 2007
- 65193A
-
Resist evaluation for contact hole patterning with thermal flow processTiron, R. / Petitdidier, C. / Sourd, C. / De Simone, D. / Cotti, G. / Annoni, E. / Mortini, B. et al. | 2007
- 65193C
-
Effect of novel rinsing material and surfactant treatment on the resist pattern performanceHuang, Victor / Chiu, C. C. / Lin, C. A. / Chang, Ching Yu / Gau, T. S. / Lin, Burn J. et al. | 2007
- 65193D
-
Impact of airborne NH3 and humidity against wafer-to-wafer CD variation in ArF lithography through 45-nm technology nodeNaito, Ryoichiro / Matsuda, Yoshitaka / Shioguchi, Masaharu / Shibata, Tsuyoshi et al. | 2007
- 65193E
-
Proximity effect correction for the chemical shrink process of different type contact holesHsieh, Wei Hsien / Liu, Hung Jen / Wu, Wen Bin / Shin, Chiang Lin / Lin, Jeng Ping et al. | 2007
- 65193F
-
Mechanism of post develop stain defect and resist surface conditionHarumoto, Masahiko / Yamaguchi, Akira / Hisai, Akihiro et al. | 2007
- 65193G
-
New ArF resist introduction for process through-put enhancementKim, Myoung Soo / Ryu, Hae-Wook / Lee, Hong-Goo / Kim, Hak-Joon / Shim, Kew-Chan / Gil, Myung-Goon / Kang, Hyo-Sang et al. | 2007
- 65193H
-
A heater plate assisted integrated bake/chill system for photoresist processingChua, Hui-Tong / Tay, Arthur / Wang, Yuheng / Wu, Xiaodong et al. | 2007
- 65193I
-
Molecular contamination control technologies for high-volume production phase in high-NA 193nm lithographyNakano, Toshiro / Tanahashi, Takashi / Imai, Akihiro / Yamana, Kazuki / Shimotsu, Tainen / Takahashi, Nobuhiro / Shioguchi, Masaharu / Matsuda, Yoshitaka / Kitano, Junichi et al. | 2007
- 65193J
-
Printing of structures less than 0,3 μm by i-line exposure using resists TDMR-AR80 and TDMR-AR95Behrendt, A. / Dow, T. / Stoeflin, K. et al. | 2007
- 65193K
-
Various factors of the image blur in chemically amplified resistKawakami, Takanori / Nagai, Tomoki / Nishimura, Yukio / Shima, Motoyuki / Kusumoto, Shiro / Shimokawa, Tsutomu et al. | 2007
- 65193L
-
Image tone optimization in advanced mask making for DUV lithographyKim, Jong-doo / Do, Mun-hoe / Jeong, Seong-ho / Kim, Jea-hee / Kim, Keeho et al. | 2007
- 65193N
-
Automatic viscosity controlled production of photoresistChang, Woo Sok / Monovoukas, Christos / Tanaka, Michael / Fronczak, Norbert et al. | 2007
- 65193O
-
Photoresist adhesion effect of resist reflow processPark, Joon-Min / Lee, Ji-Eun / Kim, Moon-Seok / Kim, Jung-Hun / Kim, Jai-Soon / Lee, Sung-Muk / Park, Jun-Tack / Bok, Chul-Kyu / Moon, Seung-Chan / Park, Seung-Wook et al. | 2007
- 65193T
-
Simulation of the combined effects of polymer size, acid diffusion length, and EUV secondary electron blur on resist line-edge roughnessDrygiannakis, D. / Nijkerk, M. D. / Patsis, G. P. / Kokkoris, G. / Raptis, I. / Leunissen, L. H. A. / Gogolides, E. et al. | 2007
- 65193U
-
Distribution control of protecting groups and its effect on LER for EUV molecular resistShiono, Daiju / Hada, Hideo / Yukawa, Hiroto / Oizumi, Hiroaki / Nishiyama, Iwao / Kojima, Kyoko / Fukuda, Hiroshi et al. | 2007
- 65193V
-
Evaluation of the 3D compositional heterogeneity effect on line-edge-roughnessKang, Shuhui / Wu, Wen-Li / Prabhu, Vivek M. / Vogt, Bryan D. / Lin, Eric K. / Turnquest, Karen et al. | 2007
- 65193W
-
Observing morphology on surface of poly(methacrylate) in ArF lithography using AFM phase imageMatsunaga, Shuji / Aratani, Ichihiro / Okabe, Fumihiko / Kitayama, Masahiko et al. | 2007
- 65193X
-
A study of process extension technologiesKim, Sang-Kon et al. | 2007
- 65193Y
-
32-nm pattern collapse modeling with radial distance and rinse speedKim, Jong-Sun / Chang, Wook / Park, Seoung-Wook / Oh, Hye-Keun / Lee, Suk-Joo / Kim, Sung-Hyuk et al. | 2007
- 65193Z
-
The rational design of polymeric EUV resist materials by QSPR modellingJack, Kevin / Liu, Heping / Blakey, Idriss / Hill, David / Yueh, Wang / Cao, Heidi / Leeson, Michael / Denbeaux, Greg / Waterman, Justin / Whittaker, Andrew et al. | 2007
- 65194A
-
Sub-10-nm structures written in ultra-thin HSQ resist layers using electron-beam lithographyGrigorescu, Anda E. / van der Krogt, Marco C. / Hagen, Cees W. et al. | 2007
- 65194B
-
Phenolic molecular glasses as resists for next-generation lithographyAndré, Xavier / Lee, Jin Kyun / De Silva, Anuja / Felix, Nelson / Ober, Christopher K. / Cao, Heidi B. / Deng, Hai / Kudo, Hiroto / Watanabe, Daisuke / Nishikubo, Tadatomi et al. | 2007
- 65194C
-
Study on diazonaphthoquinone positive photoresist composition for LCDZhou, Fangyu / Zou, Yingquan / Zhang, Zhanbin et al. | 2007
- 65194F
-
The use of a black pigment polyimide, DARC300, as a light absorber on an optical sensorGehoski, K. A. / Holm, P. M. / Boggess, K. A. / Scott, C. J. et al. | 2007
- 651901
-
Front Matter: Volume 6519| 2007
- 651902
-
Identifying materials limits of chemically amplified photoresistsWu, Wen-li / Prabhu, Vivek M. / Lin, Eric K. et al. | 2007
- 651902
-
Identifying materials limits of chemically amplified photoresists (Invited Paper) [6519-01]Wu, W. / Prabhu, V. M. / Lin, E. K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651903
-
Emerging patterning materials: trends, challenges, and opportunities in patterning and materials by designHerr, Daniel J. C. et al. | 2007
- 651903
-
Emerging patterning materials: trends, challenges, and opportunities in patterning and materials by design (Invited Paper) [6519-02]Herr, D. J. C. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651904
-
Fluoro-alcohol materials with tailored interfacial properties for immersion lithography [6519-03]Sanders, D. P. / Sundberg, L. K. / Sooriyakumaran, R. / Brock, P. J. / DiPietro, R. A. / Truong, H. D. / Miller, D. C. / Lawson, M. C. / Allen, R. D. / Society of Photo-optical Instrumentation Engineers et al. | 2007
- 651904
-
Fluoro-alcohol materials with tailored interfacial properties for immersion lithographySanders, Daniel P. / Sundberg, Linda K. / Sooriyakumaran, Ratnam / Brock, Phillip J. / DiPietro, Richard A. / Truong, Hoa D. / Miller, Dolores C. / Lawson, Margaret C. / Allen, Robert D. et al. | 2007
- 651905
-
Development of non-topcoat resist polymers for 193-nm immersion lithographyShirota, Naoko / Takebe, Yoko / Wang, Shu-Zhong / Sasaki, Takashi / Yokokoji, Osamu et al. | 2007
- 651905
-
Development of non-topcoat resist polymers for 193-nm immersion lithography [6519-04]Shirota, N. / Takebe, Y. / Wang, S.-Z. / Sasaki, T. / Yokokoji, O. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651907
-
Building an immersion topcoat from the ground up: materials perspectiveKhojasteh, Mahmoud / Popova, Irene / Varanasi, P. Rao / Sundberg, Linda / Robinson, C. / Corliss, D. / Lawson, Margaret / Dabbagh, G. / Slezak, M. / Colburn, Matthew et al. | 2007
- 651907
-
Building an immersion topcoat from the ground up: materials perspective [6519-06]Khojasteh, M. / Popova, I. / Varanasi, P. R. / Sundberg, L. / Robinson, C. / Corliss, D. / Lawson, M. / Dabbagh, G. / Slezak, M. / Colbum, M. et al. | 2007
- 651908
-
Novel materials design for immersion lithography [6519-07]Wada, K. / Kanna, S. / Kanda, H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651908
-
Novel materials design for immersion lithographyWada, Kenji / Kanna, Shinichi / Kanda, Hiromi et al. | 2007
- 651909
-
Novel high-index resists for 193-nm immersion lithography and beyond [6519-08]Blakey, I. / Chen, L. / Dargaville, B. / Liu, H. / Whittaker, A. / Conley, W. / Piscani, E. / Rich, G. / Williams, A. / Zimmerman, P. et al. | 2007
- 651909
-
Novel high-index resists for 193-nm immersion lithography and beyondBlakey, Idriss / Chen, Lan / Dargaville, Bronwin / Liu, Heping / Whittaker, Andrew / Conley, Will / Piscani, Emil / Rich, Georgia / Williams, Alvina / Zimmerman, Paul et al. | 2007
- 651910
-
Direct measurement of the in-situ developed latent image: the residual swelling fraction [6519-37]Prabhu, V. M. / Vogt, B. D. / Kang, S. / Rao, A. / Lin, E. K. / Satija, S. K. / Tumquest, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651910
-
Direct measurement of the in-situ developed latent image: the residual swelling fractionPrabhu, Vivek M. / Vogt, Bryan D. / Kang, Shuhui / Rao, Ashwin / Lin, Eric K. / Satija, Sushil K. / Turnquest, Karen et al. | 2007
- 651911
-
Fundamental limits to EUV photoresist [6519-38]Gallatin, G. M. / Naulleau, P. / Brainard, R. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651911
-
Fundamental limits to EUV photoresistGallatin, Gregg M. / Naulleau, Patrick / Brainard, Robert et al. | 2007
- 651912
-
Etch resistance: comparison and development of etch rate models [6519-39]Perret, D. / Andes, C. E. / Cheon, K.-S. / Sobhian, M. / Szmanda, C. R. / Barclay, G. G. / Trefonas, P. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651912
-
Etch resistance: comparison and development of etch rate modelsPerret, Damien / Andes, Cecily E. / Cheon, Kap-Soo / Sobhian, Mani / Szmanda, Charles R. / Barclay, George G. / Trefonas, Peter et al. | 2007
- 651913
-
Dissolution behavior of resist polymers studied by Quartz-Crystal-Microbalance method II [6519-40]Toriumi, M. / Okabe, F. / Kitayama, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651913
-
Dissolution behavior of resist polymers studied by Quartz-Crystal-Microbalance method IIToriumi, Minoru / Okabe, Fumihiko / Kitayama, Masahiko et al. | 2007
- 651915
-
Component segregation in model chemically amplified resists [6519-43]Woodward, J. T. / Fedynyshyn, T. H. / Astolfi, D. K. / Cann, S. / Roberts, J. M. / Leeson, M. J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651915
-
Component segregation in model chemically amplified resistsWoodward, John T. / Fedynyshyn, Theodore H. / Astolfi, David K. / Cann, Susan / Roberts, Jeanette M. / Leeson, Michael J. et al. | 2007
- 651916
-
FTIR measurements of compositional heterogeneities [6519-44]Kang, S. / Vogt, B. D. / Wu, W. / Prabhu, V. M. / VanderHart, D. L. / Rao, A. / Lin, E. K. / Turnquest, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651916
-
FTIR measurements of compositional heterogeneitiesKang, Shuhui / Vogt, Bryan D. / Wu, Wen-li / Prabhu, Vivek M. / VanderHart, David L. / Rao, Ashwin / Lin, Eric K. / Turnquest, Karen et al. | 2007
- 651917
-
Changes in resist glass transition temperatures due to exposure [6519-45]Fedynyshyn, T. H. / Pottebaum, I. / Cabral, A. / Roberts, J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651917
-
Changes in resist glass transition temperatures due to exposureFedynyshyn, Theodore H. / Pottebaum, Indira / Cabral, Alberto / Roberts, Jeanette et al. | 2007
- 651918
-
A study on the material design for the reduction of LWRTsubaki, Hideaki / Yamanaka, Tsukasa / Nishiyama, Fumiyuki / Shitabatake, Koji et al. | 2007
- 651918
-
A study on the material design for the reduction of LWR [6519-46]Tsubaki, H. / Yamanaka, T. / Nishiyama, F. / Shitabatake, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651919
-
Line-edge roughness in 193-nm resists: lithographic aspects and etch transfer [6519-162]Wallow, T. / Acheta, A. / Ma, Y. / Pawloski, A. / Bell, S. / Ward, B. / Tabery, C. / La Fontaine, B. / Kim, R. / McGowan, S. et al. | 2007
- 651919
-
Line-edge roughness in 193-nm resists: lithographic aspects and etch transferWallow, Thomas / Acheta, Alden / Ma, Yuansheng / Pawloski, Adam / Bell, Scott / Ward, Brandon / Tabery, Cyrus / La Fontaine, Bruno / Kim, Ryoung-han / McGowan, Sarah et al. | 2007
- 651920
-
Models for predicting the index of refraction of compounds at 193 and 589 nm [6519-78]Brainard, R. L. / Kruger, S. / Block, E. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651920
-
Models for predicting the index of refraction of compounds at 193 and 589-nmBrainard, Robert L. / Kruger, Seth / Block, Eric et al. | 2007
- 651921
-
Outlook for potential third-generation immersion fluidsLópez-Gejo, Juan / Kunjappu, Joy T. / Zhou, J. / Smith, B. W. / Zimmerman, Paul / Conley, Will / Turro, Nicholas J. et al. | 2007
- 651921
-
Outlook for potential third-generation immersion fluids [6519-80]Lopez-Gejo, J. / Kunjappu, J. T. / Zhou, J. / Smith, B. W. / Zimmerman, P. / Conley, W. / Turro, N. J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651922
-
Behavior and effects of water penetration in 193-nm immersion lithography process materialsNiwa, Takafumi / Scheer, Steven / Carcasi, Mike / Enomoto, Masashi / Tomita, Tadatoshi / Hontake, Kouichi / Kyoda, Hideharu / Kitano, Junichi et al. | 2007
- 651922
-
Behavior and effects of water penetration in 193-nm immersion lithography process materials [6519-82]Niwa, T. / Scheer, S. / Carcasi, M. / Enomoto, M. / Tomita, T. / Hontake, K. / Kyoda, H. / Kitano, J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651923
-
Process optimization for developer soluble immersion topcoat materialNakagawa, Hiroki / Goto, Kentarou / Shima, Motoyuki / Takahashi, Junichi / Shimokawa, Tsutomu / Ichino, Katsunori / Nagatani, Naohiko / Kyoda, Hideharu / Yoshihara, Kosuke et al. | 2007
- 651923
-
Process optimization for developer soluble immersion topcoat material [6519-83]Nakagawa, H. / Goto, K. / Shima, M. / Takahashi, J. / Shimokawa, T. / Ichino, K. / Nagatani, N. / Kyoda, H. / Yoshihara, K. / Society of Photo-optical Instrumentation Engineers et al. | 2007
- 651924
-
Defect transfer from immersion exposure process to post processing and defect reduction using novel immersion track system [6519-84]Miyahara, O. / Shimoaoki, T. / Wakamizu, S. / Kitano, J. / Ono, Y. / Maejima, S. / Hanawa, T. / Suko, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651924
-
Defect transfer from immersion exposure process to post processing and defect reduction using novel immersion track systemMiyahara, Osamu / Shimoaoki, Takeshi / Wakamizu, Shinya / Kitano, Junichi / Ono, Yoshiharu / Maejima, Shinroku / Hanawa, Tetsuro / Suko, Kazuyuki et al. | 2007
- 651925
-
Polymer structure modifications for immersion leaching control [6519-85]Lee, S. H. / Kim, J. W. / Oh, S. K. / Park, C. S. / Lee, J. Y. / Kim, S. S. / Lee, J. W. / Kim, D. / Kim, J. / Society of Photo-optical Instrumentation Engineers et al. | 2007
- 651925
-
Polymer structure modifications for immersion leaching controlLee, Sang Hyang / Kim, Jung Woo / Kim, Jeong Woo / Oh, Seung Keun / Park, Chan Sik / Lee, Jung Youl / Kim, Sang Soo / Lee, Jae Woo / Kim, Deogbae / Kim, Jaehyun et al. | 2007
- 651926
-
A multi-tiered approach to 193-nm immersion defect reduction through track process adjustmentsNg, Eugenia / Hooge, Joshua et al. | 2007
- 651926
-
A multi-tiered approach to 193nm immersion defect reduction through track process adjustments [6519-86]Ng, E. / Hooge, J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651927
-
Formulated surface conditioners in 50 nm immersion lithography: simultaneously reducing pattern collapse and line-width roughnessSugiyama, Minoru / Sanada, Masakazu / Wang, Suping / Wong, Patrick / Sinkwitz, Stephan / Jaramillo, Manuel / Parris, Gene et al. | 2007
- 651927
-
Formulated surface conditioners in 50-nm immersion lithography: simultaneously reducing pattern collapse and line-width roughness [6519-87]Sugiyama, M. / Sanada, M. / Wang, S. / Wong, P. / Sinkwitz, S. / Jaramilio, M. / Parris, G. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651928
-
BARC (bottom anti-reflective coating) for immersion process [6519-89]Hiroi, Y. / Kishioka, T. / Sakamoto, R. / Maruyama, D. / Ohashi, T. / Ishida, T. / Kimura, S. / Sakaida, Y. / Watanabe, H. / Society of Photo-optical Instrumentation Engineers et al. | 2007
- 651928
-
BARC (bottom anti-reflective coating) for immersion processHiroi, Yoshiomi / Kishioka, Takahiro / Sakamoto, Rikimaru / Maruyama, Daisuke / Ohashi, Takuya / Ishida, Tomohisa / Kimura, Shigeo / Sakaida, Yasushi / Watanabe, Hisayuki et al. | 2007
- 651929
-
Organic ArF bottom anti-reflective coatings for immersion lithography [6519-90]Xiang, Z. / Zhuang, H. / Wu, H. / Shan, J. / Abdallah, D. / Yin, J. / Mullen, S. / Yao, H. / Gonzalez, E. / Neisser, M. et al. | 2007
- 651929
-
Organic ArF bottom anti-reflective coatings for immersion lithographyXiang, Zhong / Zhuang, Hong / Wu, Hengpeng / Shan, Jianhui / Abdallah, Dave / Yin, Jian / Mullen, Salem / Yao, Huirong / Gonzalez, Eleazar / Neisser, Mark et al. | 2007
- 651930
-
Wet-recess gap-fill materials for an advanced dual damascene process [6519-119]Shinjo, T. / Takei, S. / Horiguchi, Y. / Nakajima, Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651930
-
Wet-recess gap-fill materials for an advanced dual damascene processShinjo, Tetsuya / Takei, Satoshi / Horiguchi, Yusuke / Nakajima, Yasuyuki et al. | 2007
- 651933
-
Novel approach of UV cross-link process for advanced planarization technology in 32-45 nm lithography [6519-124]Takei, S. / Horiguchi, Y. / Shinjo, T. / Bang-Ching, H. / Mano, Y. / Nakajima, Y. / Muramatsu, M. / Iwashita, M. / Tsuchiya, K. / Society of Photo-optical Instrumentation Engineers et al. | 2007
- 651933
-
Novel approach of UV cross-link process for advanced planarization technology in 32-45 nm lithographyTakei, Satoshi / Horiguchi, Yusuke / Shinjo, Tetsuya / Ho, Bang-Ching / Mano, Yuichi / Nakajima, Yasuyuki / Muramatsu, Makoto / Iwashita, Mitsuaki / Tsuchiya, Katsuhiro et al. | 2007
- 651934
-
Overcome the challenge of CD-bias with organic bottom anti-reflective coating removal processYanovich, S. / Krasnikov, G. / Gushchin, O. et al. | 2007
- 651934
-
Overcome the challenge of CD-bias with organic bottom anti-reflective coating removal process [6519-125]Yanovich, S. / Krasnikov, G. / Gushchin, O. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651935
-
Thin bilayer resists for 193-nm and future photolithography IIHishiro, Yoshi / Hyatt, Michael et al. | 2007
- 651935
-
Thin bilayer resists for 193-nm and future photolithography II [6519-126]Hishiro, Y. / Hyatt, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651936
-
Radiation sensitive developable bottom anti-reflective coatings (DBARC) for 193nm lithography: first generationToukhy, Medhat / Oberlander, Joseph / Mullen, Salem / Lu, PingHung / Neisser, Mark et al. | 2007
- 651936
-
Radiation sensitive developable bottom anti-reflective coating (DBARC) for 193nm lithography: first generation [6519-127]Toukhy, M. / Oberlander, J. / Mullen, S. / Lu, P. / Neisser, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651937
-
Post exposure bake unit equipped with wafer-shape compensation technology [6519-128]Goto, S. / Morita, A. / Oyama, K. / Hori, S. / Matsuchika, K. / Taniguchi, H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651937
-
Post exposure bake unit equipped with wafer-shape compensation technologyGoto, Shigehiro / Morita, Akihiko / Oyama, Kenichi / Hori, Shimpei / Matsuchika, Keiji / Taniguchi, Hideyuki et al. | 2007
- 651939
-
Reducing bubbles and particles associated with photoresist packaging materials and dispense systemsAlexander, W. B. / O'Dougherty, K. T. / Liu, W. / Yan, H. / Mikkelsen, K. et al. | 2007
- 651939
-
Reducing bubbles and particles associated with photoresist packaging materials and dispense systems [6519-132]Alexander, W. B. / O Dougherty, K. T. / Liu, W. / Yan, H. / Mikkelsen, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651941
-
Some non-resist component contributions to LER and LWR in 193-nm lithography [6519-163]Kudo, T. / Chakrapani, S. / Lin, G. / Anyadiegwu, C. / Antonio, C. / Parthasarathy, D. / Dammel, R. R. / Padmanaban, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651941
-
Some non-resist component contributions to LER and LWR in 193-nm lithographyKudo, Takanori / Chakrapani, Srinivasan / Lin, Guanyang / Anyadiegwu, Clement / Antonio, Charito / Parthasarathy, Deepa / Dammel, Ralph R. / Padmanaban, Munirathna et al. | 2007
- 651942
-
Depth-of-focus (DOF) and line-width roughness (LWR) performance of novel surface conditioner solutions for immersion lithographyLu, Bo Jou / Huang, Yongfa / Tseng, H. T. / Yu, Chun Chi / Meng, Ling-Jen / Liao, Ming-Chi / Legenza, Michale et al. | 2007
- 651942
-
Depth-of-focus (DOF) and line-width roughness (LWR) performance of novel surface conditioner solutions for immersion lithography [6519-164]Lu, B. J. / Huang, Y. / Tseng, H. T. / Yu, C. C. / Meng, L.-J. / Liao, M.-C. / Legenza, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651943
-
Effect of photo-acid generator concentration and developer strength on the patterning capabilities of a model EUV photoresistChoi, Kwang-Woo / Prabhu, Vivek M. / Lavery, Kristopher A. / Lin, Eric K. / Wu, Wen-li / Woodward, John T. / Leeson, Michael J. / Cao, Heidi B. / Chandhok, Manish / Thompson, George et al. | 2007
- 651943
-
Effect of photo-acid generator concentration and developer strength on the patterning capabilities of a model EUV photoresist [6519-165]Choi, K.-W. / Prabhu, V. M. / Lavery, K. V. / Lin, E. K. / Wu, W. / Woodward, J. T. / Leeson, M. J. / Cao, H. B. / Chandhok, M. / Thompson, G. et al. | 2007
- 651944
-
A study of EUV resist outgassing characteristics using a novel outgas analysis system [6519-166]Santillan, J. J. / Toriumi, M. / Itani, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651944
-
A study of EUV resist outgassing characteristics using a novel outgas analysis systemSantillan, Julius Joseph / Toriumi, Minoru / Itani, Toshiro et al. | 2007
- 651945
-
Sub 10-nm contact holes with aspect ratio over sixty formed by e-beam resist shrinkage techniquesChen, Wei-Su / Kao, Ming-Jer / Tsai, Ming-Jinn et al. | 2007
- 651945
-
Sub 10-nm contact holes with aspect ratio over sixty formed by e-beam resist shrinkage techniques [6519-167]Chen, W.-S. / Kao, M.-J. / Tsai, M.-J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651946
-
Study on photochemical analysis system for EUV lithography [6519-168]Sekiguchi, A. / Kono, Y. / Kadoi, M. / Minami, Y. / Kozawa, T. / Tagawa, S. / Gustafson, D. / Blackborow, P. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651946
-
Study on photochemical analysis system (VLES) for EUV lithographySekiguchi, A. / Kono, Y. / Kadoi, M. / Minami, Y. / Kozawa, T. / Tagawa, S. / Gustafson, D. / Blackborow, P. et al. | 2007
- 651947
-
Effect of deprotection activation energy on lithographic performance of EUVL resistKim, Sang-Jeoung / Yu, Geun-Jong / Lee, Jung-Yeol / Kim, Hyun-Jin / Lee, Jae-Woo / Kim, Deog-Bae / Kang, Yool / Kim, Jaehyun et al. | 2007
- 651947
-
Effect of deprotection activation energy on lithographic performance of EUVL resist [6519-169]Kim, S.-J. / Yu, G.-J. / Lee, J.-Y. / Kim, H.-J. / Lee, J.-W. / Kim, D.-B. / Kang, Y. / Kim, J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651948
-
Photoresist dissolution into a CO~2 compatible salt and CO~2 solution: investigation of processing conditions [6519-170]Zweber, A. E. / Wagner, M. / Carbonell, R. G. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
- 651948
-
Photoresist dissolution into a CO2compatible salt and CO2solution: investigation of processing conditionsZweber, Amy E. / Wagner, Mark / Carbonell, Ruben G. et al. | 2007
- 651949
-
Exposure of molecular glass resist by e-beam and EUVILVannuffel, Cyril / Djian, Damien / Tedesco, Serge / Niakoula, Dimitra / Argitis, Panagiotis / Vidali, Veroniki P. / Couladouros, Elias / Solak, Harun et al. | 2007
- 651949
-
Exposure of molecular glass resist by e-beam and EUVIL [6519-171]Vannuffel, C. / Djian, D. / Tedesco, S. / Niakoula, D. / Argitis, P. / Vidali, V. P. / Couladouros, E. / Solak, H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Characterization of photo-acid redeposition in 193-nm photoresists [6519-29]Wallow, T. / Plat, M. / Zhang, Z. / MacDonald, B. / Bernard, J. / Romero, J. / La Fontaine, B. / Levinson, H. J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
An analysis of EUV-resist outgassing measurements [6519-63]Dean, K. R. / Nishiyama, I. / Oizumi, H. / Keen, A. / Cao, H. / Yueh, W. / Watanabe, T. / Lacovig, P. / Rumiz, L. / Denbeaux, G. et al. | 2007
-
Contact angles and structure/surface property relationships of immersion materials [6519-64]Sundberg, L. K. / Sanders, D. P. / Sooriyakumaran, R. / Brock, P. J. / Allen, R. D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Modified polymer architecture for immersion lithography [6519-71]Kim, S. S. / Kim, J. W. / Lee, J. Y. / Oh, S. K. / Lee, S. H. / Lee, J. W. / Kim, D. B. / Kim, J. / Ban, K. D. / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Development of nanocomposite resists with high plasma etch resistance [6519-96]Chen, C. / Zhuang, H. / Lu, P.-H. / Neisser, M. / Pawlowski, G. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Study of 193nm resist degradation under various etch chemistries [6519-105]Bazin, A. / May, M. / Pargon, E. / Mortini, B. / Joubert, O. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Optimization of hardmask for dual anti-reflection layers [6519-114]Kim, J.-H. / Kim, J. / Kim, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Automatic viscosity controlled production of photoresist [6519-148]Chang, W. S. / Monovoukas, C. / Tanaka, M. / Fronczak, N. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
The rational design of polymeric EUV-resist materials by QSPR modeling [6519-160]Jack, K. / Liu, H. / Blakey, I. / Hill, D. / Yueh, W. / Cao, H. / Lesson, M. / Denbeaux, G. / Waterman, J. / Whittaker, A. et al. | 2007
-
The application of high-refractive index photoresist for 32-nm device level imaging [6519-26]Conley, W. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
The tri-lateral challenge of resolution, photospeed, and LER: scaling below 50nm? [6519-32]Bristol, R. L. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
PAG segregation during exposure affecting innate material roughness [6519-33]Fedynyshyn, T. H. / Astolfi, D. K. / Cabral, A. / Roberts, J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Impact of line-width roughness on Intel's 65-nm process devices [6519-48]Chandhok, M. / Datta, S. / Lionberger, D. / Vesecky, S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Study on the reduction of defects in immersion lithography [6519-70]Ban, K. / Park, S. / Bok, C. / Lim, H. / Heo, J. / Chun, H. / Kang, J. / Moon, S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Two-component photoresists based on acidolytic cleavage of novel ester acetal polymer [6519-100]Wang, L. / Chu, Z. / Cheng, L. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Materials for and performance of multilayer lithography schemes [6519-110]Weimer, M. / Wang, Y. / Neef, C. J. / Claypool, J. / Edwards, K. / Zu, Z. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Impact of airborne NH3 and humidity against wafer-to-wafer CD variation in ArF lithography through 45-nm technology node [6519-136]Naito, R. / Matsuda, Y. / Shioguchi, M. / Shibata, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
High-refractive index material design for ArF immersion lithography [6519-10]Furukawa, T. / Kishida, T. / Miyamatsu, T. / Kawaguchi, K. / Yamada, K. / Tominaga, T. / Slezak, M. / Hieda, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Novel diamantane polymer platform for enhanced etch resistance [6519-15]Padmanaban, M. / Chakrapani, S. / Lin, G. / Kudo, T. / Parthasarathy, D. / Rahman, D. / Anyadiegwu, C. / Antonio, C. / Dammel, R. R. / Liu, S. et al. | 2007
-
Spin-on trilayer approaches to high NA 193nm lithography [6519-22]Abdallah, D. J. / McKenzie, D. / Timko, A. / Dioses, A. / Houlihan, F. / Rahman, D. / Miyazaki, S. / Zhang, R. / Kim, W. / Wu, H. et al. | 2007
-
A novel plasma-assisted shrink process to enlarge process windows of narrow trenches and contacts for 45-nm node applications and beyond [6519-30]de Beeck, M. O. / Versluijs, J. / Tokei, Z. / Demuynck, S. / De Marneffe, J.-F. / Boullart, W. / Vanhaelemeersch, S. / Zhu, H. / Cirigliano, P. / Pavel, E. et al. | 2007
-
Defectivity reduction studies for ArF immersion lithography [6519-68]Matsunaga, K. / Kondoh, T. / Kato, H. / Kobayashi, Y. / Hayasaki, K. / Ito, S. / Yoshida, A. / Shimura, S. / Kawasaki, T. / Kyoda, H. et al. | 2007
-
The characterization of photoresist for accurate simulation beyond Gaussian diffusion [6519-35]Wang, L. / Wu, P. / Wu, Q. / Ding, H. / Li, X. / Sun, C. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A novel top surface imaging approach utilizing direct-area selective atomic layer deposition of hardmasks [6519-57]Sinha, A. / Hess, D. W. / Henderson, C. L. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
The resist materials study for the outgassing reduction and LWR improvement in EUV lithography [6519-62]Masuda, S. / Kamimura, S. / Hirano, S. / Hoshino, W. / Mizutani, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Tailoring surface properties of ArF resists thin films with functionally graded materials (FGM) [6519-72]Takemoto, I. / Ando, N. / Edamatsu, K. / Fuji, Y. / Kuwana, K. / Hashimoto, K. / Funase, J. / Yokoyama, H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Single component chemically-amplified resist based on dehalogenation of polymer [6519-98]Yamamoto, H. / Kozawa, T. / Tagawa, S. / Ohmori, K. / Sato, M. / Komano, H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Non-ionic photoacid generators for chemically amplified resists: evaluation results on the application-relevant properties [6519-103]Asakura, T. / Yamato, H. / Nishimae, Y. / Ohwa, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Printing of structures less than 0,3 mum by i-line exposure using resists TDMR-AR80 and TDMR-AR95 [6519-144]Behrendt, A. / Dow, T. / Stoeflin, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Simulation of the combined effects of polymer size, acid diffusion length, and EUV secondary electron blur on resist line-edge roughness [6519-39]Drygiannakis, D. / Nijkerk, M. D. / Patsis, G. P. / Kokkoris, G. / Raptis, I. / Leunissen, L. H. A. / Gogolides, E. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Evaluation of the 3D compositional heterogeneity effect on line-edge-roughness [6519-154]Kang, S. / Wu, W. / Prabhu, V. M. / Vogt, B. D. / Lin, E. K. / Turnquest, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A study of process extension technologies [6519-156]Kim, S.-K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Adapting immersion exposure to mass production by adopting a cluster of novel resistcoating/developing and immersion-exposure equipment [6519-11]Fukiwara, T. / Ishikawa, J. / Kawakubo, T. / Ishii, Y. / Kyoda, H. / Wakamizu, S. / Shimoaoki, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
High-performance 193-nm photoresists based on fluorosulfonamide [6519-14]Li, W. / Chen, K.-J. / Kwong, R. / Lawson, M. C. / Khojasteh, M. / Popova, I. / Varanasi, P. R. / Shimokawa, T. / Yamaguchi, Y. / Kusumoto, S. et al. | 2007
-
Photosensitivity and line-edge roughness of novel polymer-bound PAG photoresists [6519-52]Lee, C.-T. / Wang, M. / Jarnagin, N. D. / Gonsalves, K. E. / Roberts, J. M. / Yueh, W. / Henderson, C. L. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Novel anionic photoacid generator (PAGs) and photoresist for sub-50-nm patterning by EUVL and EBL [6519-53]Wang, M. / Lee, C.-T. / Henderson, C. L. / Yueh, W. / Roberts, J. M. / Gonsalves, K. E. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Mechanism of immersion specific defects with high receding-angle topcoat [6519-67]Terai, M. / Kumada, T. / Ishibashi, T. / Hagiwara, T. / Hanawa, T. / Ando, T. / Matsunobe, T. / Okada, K. / Muraji, Y. / Yoshikawa, K. et al. | 2007
-
Multilayer BARCs for hyper-NA immersion lithography process [6519-91]Sakaida, Y. / Takei, S. / Nakajima, M. / Kimura, S. / Sakaguchi, T. / Hashimoto, K. / Imamura, H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Novel low-reflective index fluoropolymers-based top anti-reflective coatings (TARC) for 193-nm lithography [6519-112]Yamashita, T. / Hayami, T. / Ishikawa, T. / Kanemura, T. / Aoyama, H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Resist evaluation for contact hole patterning with thermal flow process [6519-133]Tiron, R. / Petitdidier, C. / Sourd, C. / De Simone, D. / Cotti, G. / Annoni, E. / Mortini, B. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Various factors of the image blur in chemically amplified resist [6519-145]Kawakami, T. / Nagai, T. / Nishimura, Y. / Shima, M. / Kusumoto, S. / Shimokawa, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Observing morphology on surface of poly(methacrylate) in ArF lithography using AFM phase image [6519-155]Matsunaga, S. / Aratani, I. / Okabe, F. / Kitayama, M. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Hybrid optical: electron-beam resists [6519-16]Lennon, D. M. / Spector, S. J. / Fedynyshyn, T. H. / Lyszczarz, T. M. / Rothschild, M. / Thackeray, J. / Spear-Alfonso, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Second-generation radiation sensitive developable bottom anti-reflective coatings (DBARC) and implant resists approaches for 193-nm lithography [6519-21]Houlihan, F. / Dioses, A. / Toukhy, M. / Romano, A. / Oberlander, J. / Wu, H. / Mullen, S. / Krawicz, A. / Lu, P. / Neisser, M. et al. | 2007
-
Mechanistic simulation of line-edge roughness [6519-34]Biafore, J. J. / Smith, M. D. / Robertson, S. A. / Graves, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Self-aligned, self-assembled organosilicate line patterns of ∼20nm half-pitch from block-copolymer mediated self assembly [6519-55]Kim, H.-C. / Cheng, J. / Rettner, C. / Park, O.-H. / Miller, R. / Hart, M. / Sundstrom, L. / Zhang, Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Patterning of biomolecules on a biocompatible nonchemically amplified resist [6519-59]Kim, J.-B. / Ganesan, R. / Yoo, S. Y. / Choi, J.-H. / Lee, S. Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
PAG distribution and acid thermal diffusion study in ultra-thick chemically amplified resist films [6519-104]Toukhy, M. / Paunescu, M. / Chen, C. / Pawlowski, G. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Effect of novel rinsing material and surfactant treatment on the resist pattern performance [6519-135]Huang, V. / Chiu, C. C. / Lin, C. A. / Chang, C. Y. / Gau, T. S. / Lin, B. J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Photoresist adhesion effect of resist reflow process [6519-149]Park, J.-M. / Lee, J.-E. / Kim, M.-S. / Kim, J.-S. / Kim, J.-H. / Lee, S.-M. / Park, J.-T. / Bok, C.-K. / Moon, S.-C. / Park, S.-W. et al. | 2007
-
The use of a black pigment polyimide, DARC300, as a light absorber on an optical sensor [6519-176]Gehoski, K. A. / Holm, P. M. / Boggess, K. A. / Scott, C. J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Evaluation of ArF lithography for 45-nm node implant layers [6519-28]Bailey, T. C. / Maynollo, J. / Perez, J. J. / Popova, I. / Zhang, B. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A novel method for characterizing resist performance [6519-31]Van Steenwinckel, D. / Gronheid, R. / Lammers, J. H. / Meyers, A. M. / Van Roey, F. / Willems, P. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Impact of curing kinetics and materials properties on imprint characteristics of resists for UV nano-imprint lithography [6519-50]Houle, F. A. / Fornof, A. / Sooriyakumaran, R. / Truong, H. / Miller, D. C. / Sanchez, M. I. / Davis, B. / Magbitang, T. / Allen, R. D. / Hart, M. W. et al. | 2007
-
Molecular glass photoresists containing photoacid generator functionality: a route to a single-molecule photoresist [6519-61]Lawson, R. A. / Lee, C.-T. / Whetsell, R. / Yueh, W. / Roberts, J. / Tolbert, L. / Henderson, C. L. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Novel polymeric anionic photo-acid generators (PAGs) and photoresists for sub-100-nm patterning by 193-nm lithography [6519-93]Wang, M. / Jarnagin, N. D. / Yueh, W. / Roberts, J. M. / Tapia-Tapia, M. / Batina, N. / Gonsalves, K. E. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Novel 193-nm positive photoresist composed of ester acetal polymer without phenyl group [6519-99]Wang, L. / Zhai, X. / Huo, Y. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Nonchemically amplified resists for deep-UV lithography [6519-101]Ganesan, R. / Kim, S. / Youn, S. K. / Cho, Y. / Yun, J.-M. / Kim, J.-B. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Correlation between etching and optical properties of organic films for multilayer resist [6519-107]Soda, E. / Koba, F. / Kondo, S. / Ogawa, S. / Saito, S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Rework/stripping of multilayer materials for FEOL and BEOL integration using single wafer tool techniques [6519-108]Turner, S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A novel 248-nm wet-developable BARC for trench applications [6519-118]Neef, C. J. / Thomas, D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Molecular contamination control technologies for high-volume production phase in high-NA 193-nm lithography [6519-143]Nakano, T. / Tanahashi, T. / Imai, A. / Yamana, K. / Shimotsu, T. / Takahashi, N. / Shioguchi, M. / Matsuda, Y. / Kitano, J. / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Sub-10-nm structures written in ultra-thin HSQ resist layers using electron-beam lithography [6519-177]Grigorescu, A. E. / van der Krogt, M. C. / Hagen, C. W. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Evaluation of immersion lithography processes for 55-nm node logic devices [6519-73]Nakata, T. / Kodama, T. / Komori, M. / Onoda, N. / Uchiyama, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Performance of chemically amplified resists at half-pitch of 45 nm and below [6519-27]Wei, Y. / Bender, M. / Domke, W.-D. / Laessig, A. / Sebald, M. / Trogisch, S. / Back, D. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Material design of negative-tone polyphenol resist for EUV and EB lithography [6519-51]Kojima, K. / Mori, S. / Shiono, D. / Hada, H. / Onodera, J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Development of top coat materials for ArF immersion lithography [6519-17]Takebe, Y. / Shirota, N. / Sasaki, T. / Yokokoji, O. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Advanced developer-soluble gap-fill materials and applications [6519-111]Huang, R. / Sullivan, D. / Qin, A. / Brown, S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Effect of solvents and cross-link reaction group concentration on via filling performance in gap fill materials [6519-113]Takei, S. / Sakaida, Y. / Shinjo, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Mechanism of post develop stain defect and resist surface condition [6519-140]Harumoto, M. / Yamaguchi, A. / Hisai, A. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Image tone optimization in advanced mask making for DUV lithography [6519-146]Kim, J. / Do, M. / Jeong, S. / Kim, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Study on diazonaphthoquinone positive photoresist composition for LCD [6519-172]Zhou, F. / Zou, Y. / Zhang, Z. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Measurement and evaluation of water uptake by resists, top coats, stacks, and correlation with watermark defects [6519-13]Foubert, P. / Kocsis, M. / Gronheid, R. / Kishimura, S. / Soyano, A. / Nafus, K. / Stepanenko, N. / De Backer, J. / Vandenbroeck, N. / Ercken, M. et al. | 2007
-
Novel photodefinable low-k dielectric polymers based on polybenzoxazines [6519-58]Romeo, M. / Yamanaka, K. / Maeda, K. / Henderson, C. L. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Initial process evaluation for next generation immersion technology node [6519-92]Tomita, T. / Nafus, K. / Hatakeyama, S. / Kosugi, H. / Enomoto, M. / Inoue, S. / Ruck, K. / Weichert, H. / Mantecon, M. B. / Stegen, R. et al. | 2007
-
Spin-on Organic Hardmask Materials in 7-nm Devices [6519-109]Oh, C. / Uh, D. / Kim, D. / Lee, J. / Yun, H. / Nam, I. / Kim, M. / Yoon, K. / Hyung, K. / Tokareva, N. et al. | 2007
-
A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography [6519-115]Mercado, R.-M. L. / Lowes, J. A. / Washburn, C. A. / Guerrero, D. J. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
A heater plate assisted integrated bake/chill system for photoresist processing [6519-142]Chua, H.-T. / Tay, A. / Wang, Y. / Wu, X. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Immersion defectivity control by optimizing immersion materials and processes [6519-12]Nakano, K. / Kato, H. / Owa, S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Transfer mechanism of defects on topcoat to resist pattern in immersion lithography process and effects on etching process [6519-77]Takahashi, N. / Shimura, S. / Kawasaki, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Chemical composition distribution analysis of photoresist copolymers and influence on ArF lithographic performance [6519-97]Momose, H. / Yasuda, A. / Ueda, A. / Iseki, T. / Ute, K. / Nishimura, T. / Nakagawa, R. / Kitayama, T. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
New ArF resist introduction for process through-put enhancement [6519-141]Kim, M.-S. / Ryu, H.-W. / Lee, H.-G. / Kim, H.-J. / Shim, K.-C. / Gil, M.-G. / Kang, H.-S. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Phenolic molecular glasses as resists for next-generation lithography [6519-192]Andre, X. / Lee, J. K. / De Silva, A. / Felix, N. / Ober, C. K. / Cao, H. B. / Deng, H. / Kudo, H. / Watanabe, D. / Nishikubo, T. et al. | 2007
-
Development of high-performance multi-layer resist process with hardening treatment [6519-106]Ono, Y. / Ishibashi, T. / Yamaguchi, A. / Hanawa, T. / Tadokoro, M. / Yoshikawa, K. / Yonekura, K. / Matsuda, K. / Matsunobe, T. / Fujii, Y. et al. | 2007
-
Optimization of material and process parameter for minimizing defect in implementation of MFHM process [6519-116]Lee, K. / Heo, J. / Ban, K. / Seo, H. / Lee, G. / Kim, W. / Cho, J. / Sun, J. / Lee, S. / Bok, C. et al. | 2007
-
Distribution control of protecting groups and its effect on LER for EUV molecular resist [6519-47]Shiono, D. / Hada, H. / Yukawa, H. / Oizumi, H. / Nishiyama, I. / Kojima, K. / Fukuda, H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
32-nm pattern collapse modeling with radial distance and rinse speed [6519-158]Kim, J.-S. / Chang, W. / Park, S.-W. / Oh, H.-K. / Lee, S.-J. / Kim, S.-H. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Screening of second-generation high-index liquids [6519-09]Hendrickx, E. / Postnikov, S. / Foubert, P. / Gronheid, R. / Kim, B. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Photo-deprotection resist based on photolysis of o-nitrobenzyl phenol ether; challenge to half-pitch 22 nm using near-field lithography [6519-19]Ito, T. / Terao, A. / Inao, Y. / Yamaguchi, T. / Mizutani, N. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Enhancing photoresist performance with an adhesion promoting photo-acid generator [6519-20]Sharma, S. / Meagley, R. P. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Progress of hard mask material for multilayer stack application [6519-122]Harada, H. / Yonemura, K. / Tanaka, T. / Kawana, D. / Yamashita, N. / Ohmori, K. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Silicon-based anti-reflective spin-on hardmask materials with improved storage stability for 193-nm lithography [6519-24]Kim, S. K. / Lim, S. H. / Kim, D. / Koh, S. R. / Kim, M. / Yoon, H. C. / Uh, D. S. / Kim, J. S. / Chang, T. / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Novel developers for positive tone EUV photoresists [6519-25]Sharma, G. / Sharma, S. / Rattner, M. / Meagley, R. P. / Tanaka, M. / Shimokawa, T. / Sugita, H. / Wang, T. / Shiota, A. / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Gray-scale lithography of photosensitive polyimide and its graphitization [6519-56]Akbar, S. / Imhoff, E. / Kub, F. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Resist evaluation for EUV application at ASET [6519-60]Goo, D. / Tanaka, Y. / Kikuchi, Y. / Oizumi, H. / Nishiyama, I. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007
-
Proximity effect correction for the chemical shrink process of different type contact holes [6519-138]Hsieh, W. H. / Liu, H. J. / Wu, W. B. / Shin, C. L. / Lin, J. P. / Society of Photo-optical Instrumentation Engineers / SEMATECH, Inc et al. | 2007