3D-AFM booster for mass-production nanoimprint lithography [7272-101] (Englisch)
- Neue Suche nach: Foucher, A.-L.
- Neue Suche nach: Foucher, J.
- Neue Suche nach: Landis, S.
- Neue Suche nach: SPIE (Society)
- Neue Suche nach: International SEMATECH
- Neue Suche nach: Foucher, A.-L.
- Neue Suche nach: Foucher, J.
- Neue Suche nach: Landis, S.
- Neue Suche nach: Allgair, John A.
- Neue Suche nach: Raymond, Christopher J.
- Neue Suche nach: SPIE (Society)
- Neue Suche nach: International SEMATECH
In:
Metrology, inspection, and process control for microlithography
;
7272 2J
;
2009
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Print
-
Titel:3D-AFM booster for mass-production nanoimprint lithography [7272-101]
-
Beteiligte:Foucher, A.-L. ( Autor:in ) / Foucher, J. ( Autor:in ) / Landis, S. ( Autor:in ) / Allgair, John A. / Raymond, Christopher J. / SPIE (Society) / International SEMATECH
-
Kongress:Conference; 23rd, Metrology, inspection, and process control for microlithography ; 2009 ; San Jose, Calif.
-
Erschienen in:PROCEEDINGS- SPIE THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERS ; 7272 ; 7272 2J
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsort:Bellingham, Wash.
-
Erscheinungsdatum:01.01.2009
-
Format / Umfang:7272 2J
-
Anmerkungen:Includes bibliographical references and author index.
-
ISBN:
-
ISSN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 72720A
-
Evaluation of a new metrology technique to support the needs of accuracy, precision, speed, and sophistication in near-future lithographyKe, Chih-Ming / Hu, Jimmy / Wang, Willie / Huang, Jacky / Chung, H. L. / Liang, C. R. / Shih, Victor / Liu, H. H. / Lee, H. J. / Lin, John et al. | 2009
- 72720B
-
MOSAIC: a new wavefront metrologyAnderson, Christopher N. / Naulleau, Patrick P. et al. | 2009
- 72720D
-
Immersion specific error contribution to overlay controlD'havé, Koen / Laidler, David / Cheng, Shaunee et al. | 2009
- 72720E
-
Overlay similarity: a new overlay index for metrology tool and scanner overlay fingerprint methodologyKe, Chih-Ming / Kao, Ching-Pin / Wang, Yu-Hsi / Hu, Jimmy / Chang, Chen-Yu / Tsai, Ya-Jung / Yen, Anthony / Lin, Burn J. et al. | 2009
- 72720F
-
Tabletop coherent diffractive microscopy with extreme ultraviolet light from high harmonic generationRaymondson, Daisy A. / Sandberg, Richard L. / Schlotter, William F. / Raines, Kevin S. / La-o-Vorakiat, Chan / Townsend, Ethan / Sakdinawat, Anne / Paul, Ariel / Miao, Jianwei / Murnane, Margaret M. et al. | 2009
- 72720G
-
Overlay metrology for double patterning processesLeray, Philippe / Cheng, Shaunee / Laidler, David / Kandel, Daniel / Adel, Mike / Dinu, Berta / Polli, Marco / Vasconi, Mauro / Salski, Bartlomiej et al. | 2009
- 72720H
-
Implementation of the high order overlay control for mass production of 40nm node logic devicesUmeda, Daisuke / Miyasaka, Mami / Uchiyama, Takayuki et al. | 2009
- 72720I
-
Using intrafield high-order correction to achieve overlay requirement beyond sub-40nm nodeHuang, Chun Yen / Chue, Chuei Fu / Liu, An-Hsiung / Wu, Wen Bin / Shih, Chiang Lin / Chiou, Tsann-Bim / Lee, Juno / Chen, Owen / Chen, Alek et al. | 2009
- 72720J
-
Polar Correction: new overlay control method for higher-order intra-field error dependent on the wafer coordinatesTakakuwa, Manabu / Toriumi, Keigo / Komine, Nobuhiro / Ishigo, Kazutaka / Kono, Takuya / Nakasugi, Tetsuro / Higashiki, Tatsuhiko et al. | 2009
- 72720K
-
Effects of plasma spatial profile on conversion efficiency of laser produced plasma sources for EUV lithographyHassanein, A. / Sizyuk, V. / Sizyuk, T. / Harilal, S. et al. | 2009
- 72720L
-
Dark-field optical scatterometry for line-width-roughness metrologyZhuang, G. Vera / Spielman, Steven / Fielden, John / Wack, Daniel C. / Poslavsky, Leonid / Bunday, Benjamin D. et al. | 2009
- 72720M
-
A CD AFM study of the plasma impact on 193nm photoresist LWR: role of plasma UV and ionsPargon, E. / Martin, M. / Menguelti, K. / Azarnouche, L. / Foucher, J. / Joubert, O. et al. | 2009
- 72720N
-
SEM metrology damage in polysilicon line and its impact on LWR evaluationWang, S.-B. / Lee, W.-Y. / Chiu, Y. H. / Tao, H. J. / Mii, Y. J. et al. | 2009
- 72720O
-
Process variation monitoring (PVM) by wafer inspection tool as a complementary method to CD-SEM for mapping LER and defect density on production wafersShabtay, Saar / Blumberg, Yuval / Levi, Shimon / Greenberg, Gadi / Harel, Daniel / Conley, Amiad / Meshulach, Doron / Kan, Kobi / Dolev, Ido / Kumar, Surender et al. | 2009
- 72720Q
-
Validation of CD-SEM etching residue evaluation technique for MuGFET structuresIsawa, Miki / Tanaka, Maki / Maeda, Tatsuya / Watanabe, Kenji / Vandeweyer, Tom / Collaert, Nadine / Rooyackers, Rita et al. | 2009
- 72720R
-
Sensitivity of SEM width measurements to model assumptionsVillarrubia, J. S. / Ding, Z. J. et al. | 2009
- 72720S
-
Accurate electrical prediction of memory array through SEM-based edge-contour extraction using SPICE simulationShauly, Eitan / Rotstein, Israel / Peltinov, Ram / Latinski, Sergei / Adan, Ofer / Levi, Shimon / Menadeva, Ovadya et al. | 2009
- 72720T
-
Developing an uncertainty analysis for optical scatterometryGermer, Thomas A. / Patrick, Heather J. / Silver, Richard M. / Bunday, Benjamin et al. | 2009
- 72720U
-
Effect of line-width roughness on optical scatterometry measurementsBergner, Brent C. / Germer, Thomas A. / Suleski, Thomas J. et al. | 2009
- 72720V
-
Product-driven material characterization for improved scatterometry time-to-solutionVaid, Alok / Hartig, Carsten / Sendelbach, Matthew / Bozdog, Cornel / Kim, Hyang Kyun / Sendler, Michael / Cohen, Yoel / Kucherov, Victor / Brill, Boaz / Stepanov, Stanislav et al. | 2009
- 72720W
-
Manufacturing implementation of scatterometry and other techniques for 300-mm lithography tool controlsWiltshire, T. / Corliss, D. / Brunner, T. / Ausschnitt, C. / Young, R. / Nielson, R. / Hwang, E. / Iannucci, J. et al. | 2009
- 72720Y
-
Methodologies for evaluating CD-matching of CD-SEMKawada, Hiroki / Ke, Chih-Ming / Cheng, Ya-Chun / Wang, Yu-Hsi et al. | 2009
- 72720Z
-
Calibration of a scanning electron microscope in the wide range of magnifications for the microscope operation in the integrated circuit production lineGavrilenko, V. P. / Novikov, Yu. A. / Rakov, A. V. / Todua, P. A. / Volk, Ch. P. et al. | 2009
- 72721A
-
Development of optical simulation tool for defect inspectionFujii, Takayoshi / Konno, Yusaku / Okada, Naotada / Yoshino, Kiminori / Yamazaki, Yuuichiro et al. | 2009
- 72721B
-
Phenomenology of electron-beam-induced photoresist shrinkage trendsBunday, Benjamin / Cordes, Aaron / Allgair, John / Tileli, Vasiliki / Avitan, Yohanan / Peltinov, Ram / Bar-zvi, Maayan / Adan, Ofer / Cottrell, Eric / Hand, Sean et al. | 2009
- 72721C
-
Systematic defect filtering and data analysis methodology for design based metrologyYang, Hyunjo / Kim, Jungchan / Lee, Taehyeong / Jung, Areum / Yoo, Gyun / Yim, Donggyu / Park, Sungki / Hasebe, Toshiaki / Yamamoto, Masahiro / Cai, Jun et al. | 2009
- 72721D
-
Quantitative measurement of voltage contrast in SEM images for in-line resistance inspection of wafers manufactured for SRAMMatsui, Miyako / Odaka, Takahiro / Nagaishi, Hiroshi / Sakurai, Koichi et al. | 2009
- 72721E
-
Study of devices leakage of 45nm node with different SRAM layouts using an advanced e-beam inspection systemsXiao, Hong / Ma, Long / Zhao, Yan / Jau, Jack et al. | 2009
- 72721F
-
Hotspot monitoring system with contour-based metrologyKawamoto, A. / Tanaka, Y. / Tsuda, S. / Shibayama, K. / Furukawa, S. / Abe, H. / Mitsui, T. / Yamazaki, Y. et al. | 2009
- 72721G
-
Outliers detection by fuzzy classification method for model buildingTop, Mame Kouna / Trouiller, Yorick / Farys, Vincent / Fuard, David / Yesilada, Emek / Martinelli, Catherine / Said, Mazen / Foussadier, Franck / Schiavone, Patrick et al. | 2009
- 72721H
-
Monitoring measurement tools: new methods for driving continuous improvements in fleet measurement uncertaintySolecky, Eric / Archie, Chas / Sendelbach, Matthew / Fiege, Ron / Zaitz, Mary / Shneyder, Dmitriy / Strocchia-rivera, Carlos / Munoz, Andres / Rangarajan, Srinivasan / Muth, William et al. | 2009
- 72721I
-
Two-dimensional dose and focus-error measurement technology for exposure tool management in half-pitch 3x generationFukazawa, Kazuhiko / Kudo, Yuji / Fujimori, Yoshihiko / Yoshino, Kiminori / Yamazaki, Yuichiro et al. | 2009
- 72721J
-
Increased uniformity control in a 45nm polysilicon gate etch processParkinson, Blake / Prager, Dan / Funk, Merritt / Sundararajan, Radha / Yamashita, Asao / Bandy, Kenneth / Meyette, Eric et al. | 2009
- 72721K
-
The measurement uncertainty challenge for the future technological nodes production and developmentFoucher, J. / Faurie, P. / Foucher, A.-L. / Cordeau, M. / Farys, V. et al. | 2009
- 72721L
-
Angle-resolved scatterfield microscope for linewidth measurementShyu, Deh-Ming / Ku, Yi-sha / Hsu, Wei-Te et al. | 2009
- 72721M
-
Optical CD metrology model evaluation and refining for manufacturingWang, S.-B. / Huang, C. L. / Chiu, Y. H. / Tao, H. J. / Mii, Y. J. et al. | 2009
- 72721N
-
Uncertainty and sensitivity analysis and its applications in OCD measurementsVagos, Pedro / Hu, Jiangtao / Liu, Zhuan / Rabello, Silvio et al. | 2009
- 72721P
-
AFM method for sidewall measurement through CNT probe deformation correction and its accuracy evaluationWatanabe, Masahiro / Baba, Shuichi / Nakata, Toshihiko / Itoh, Hiroshi / Morimoto, Takafumi / Sekino, Satoshi et al. | 2009
- 72721Q
-
Alignment method of self-aligned double patterning processTsai, Meng-Feng / Lai, Jun-Cheng / Chang, Yi-Shiang / Lin, Chia-Chi et al. | 2009
- 72721R
-
Application results of lot-to-lot high-order overlay correction for sub-60-nm memory device fabricationShin, Jangho / Nam, Sangmo / Kim, Taekyu / Bae, Yong-Kug / Lee, Junghyeon et al. | 2009
- 72721S
-
Fast mask CD uniformity measurement using zero order diffraction from memory array patternHeo, Jinseok / Park, Jinhong / Yeo, Jeongho / Choi, Seongwoon / Han, Woosung et al. | 2009
- 72721T
-
Requirements of the inspection for double patterning technology reticlesCho, Wonil / Kim, Won-Sun / Sohn, Sung-Joon / Lee, Sunpyo / Choi, Jihyeon / Kim, Yonghoon / Cho, HanKu et al. | 2009
- 72721U
-
Sensitivity improvement and noise reduction of array CD mapping on memory device using inspection toolYeo, Jeong-Ho / Cho, Byeong-Ok / Park, Jin-Hong / Hur, Jinseok / Woo, Seok-Hoon / Choi, Seungwoon / Park, Chan-Hoon et al. | 2009
- 72721V
-
Analysis of systematic errors in lateral shearing interferometry for EUV optical testingMiyakawa, Ryan / Naulleau, Patrick / Goldberg, Ken et al. | 2009
- 72721X
-
Haze generation model and prevention techniques for sulfate free cleaned maskPatil, Manish / Kim, Jong-Min / Hur, Ik-Boum / Choi, Sang-Soo et al. | 2009
- 72721Z
-
Resist-based polarization monitoring with phase-shift masks at 1.35 numerical apertureMcIntyre, Gregory / Tu, Richard et al. | 2009
- 72722A
-
Study of advanced mask inspection optics with super-resolution method for next-generation mask fabricationHirano, Ryoichi / Hirono, Masatoshi / Ogawa, Riki / Kikuiri, Nobutaka / Takahara, Kenichi / Hashimoto, Hideaki / Shigemura, Hiroyuki et al. | 2009
- 72722B
-
Novel lithography approach using feed-forward mask-based wafer CDU correction increase fab productivity and yieldMangan, Shmoolik / Byers, Erik / Rost, Dan / Garrett, Mike / Carlson, Merri / Hickman, Craig / Finders, Jo / Luehrmann, Paul / Kazinczi, Robert / Minnaert-Janssen, Ingrid et al. | 2009
- 72722C
-
CD-bias reduction in CD-SEM line-width measurement for the 32-nm node and beyond using the model-based library methodShishido, Chie / Tanaka, Maki / Osaki, Mayuka et al. | 2009
- 72722D
-
New approach for mask-wafer measurement by design-based metrology integration systemMaeda, Tatsuya / Hayano, Katsuya / Kawashima, Satoshi / Mohri, Hiroshi / Sakai, Hideo / Sato, Hiodetoshi / Matsuoka, Ryoichi / Nishihara, Makoto / Sukegawa, Shigeki et al. | 2009
- 72722E
-
A practical application of multiple parameters profile characterization (MPPC) using CD-SEM on production wafers using Hyper-NA lithographyIshimoto, T. / Sekiguchi, K. / Hasegawa, N. / Watanabe, K. / Laidler, D. / Cheng, S. et al. | 2009
- 72722F
-
Improving capability of recipe management on CD-SEM using recipe diagnostic toolNishiuchi, Kaoru / Nakano, Shinichi / Nishino, Masaki / Yang, Kyoungmo / Kakuta, Junichi / Nakata, Yukari / Koshihara, Shunsuke et al. | 2009
- 72722G
-
Three-dimensional profile extraction from CD-SEM top-view imageYamaguchi, Atsuko / Momonoi, Yoshinori / Murayama, Ken / Kawada, Hiroki / Tanaka, Junichi et al. | 2009
- 72722J
-
3D-AFM booster for mass-production nanoimprint lithographyFoucher, A.-L. / Foucher, J. / Landis, S. et al. | 2009
- 72722L
-
Simulation of secondary electron emission in helium ion microscope for overcut and undercut line-edge patternsYamanaka, Takuya / Inai, Kensuke / Ohya, Kaoru / Ishitani, Tohru et al. | 2009
- 72722M
-
Nonplanar high-k dielectric thickness measurements using CD-SAXSWang, Chengqing / Choi, Kwang-Woo / Chen, Yi-Ching / Price, Jimmy / Ho, Derek L. / Jones, Ronald L. / Soles, Christopher / Lin, Erik K. / Wu, Wen-Li / Bunday, Benjamin D. et al. | 2009
- 72722N
-
High-precision CD matching monitoring technology using profile gradient method for the 32-nm technology generationIkegami, Toru / Kono, Akemi / Maeda, Tatsuya / Osaki, Mayuka / Shishido, Chie et al. | 2009
- 72722O
-
CD budget analysis on sub-50-nm DRAM device: global CD variation to local CD variationHwang, Chan / Park, Joon-Soo / Yeo, Jeongho / Choi, Seong-Woon / Park, Chan-Hoon et al. | 2009
- 72722P
-
Intrafield process control for 45 nm CMOS logic patterningLe Gratiet, Bertrand / Massin, Jean / Ostrovski, Alain / Monget, Cedric / Decaux, Marianne / Thivolle, Nicolas / Faure, Romuald / Baron, Fabrice / Chapon, Jean-Damien / Dabertrand, Karen et al. | 2009
- 72722Q
-
Contour quality assessment for OPC model calibrationFilitchkin, Paul / Do, Thuy / Kusnadi, Ir / Sturtevant, John L. / de Bisschop, Peter / Van de Kerkhove, Jeroen et al. | 2009
- 72722R
-
Applications of AFM in semiconductor R&D and manufacturing at 45 nm technology node and beyondLee, Moon-Keun / Shin, Minjung / Bao, Tianming / Song, Chul-Gi / Dawson, Dean / Ihm, Dong-Chul / Ukraintsev, Vladimir et al. | 2009
- 72722T
-
WLCD: a new system for wafer level CD metrology on photomasksMartin, Sven / Seitz, Holger / Degel, Wolfgang / Buttgereit, Ute / Scherübl, Thomas et al. | 2009
- 72722U
-
3D touch trigger probe based on fiber Bragg gratingsDing, Bangzhou / Fei, Yetai / Fan, Zheguang et al. | 2009
- 72722W
-
In-die registration metrology on future-generation reticlesRoeth, Klaus-Dieter / Laske, Frank / Kinoshita, Hiroshi / Kenmochi, Daisuke / Schmidt, Karl-Heinrich / Adam, Dieter et al. | 2009
- 72722X
-
Advanced modeling strategies to improve overlay control for 32-nm lithography processesMinvielle, Anna / Singh, Lovejeet / Schefske, Jeffrey / Reiss, Joerg / Kent, Eric / Manchester, Terry / Eichelberger, Brad / O'Brien, Kelly / Manka, Jim / Robinson, John C. et al. | 2009
- 72722Y
-
Overlay mark optimization using the KTD signal simulation systemMarchelli, Anat / Gutjahr, Karsten / Kubis, Michael / Sparka, Christian / Ghinovker, Mark / Navarra, Alessandra / Widmann, Amir et al. | 2009
- 72722Z
-
Fast analysis and diagnostics for improving overlay control: moving beyond the black box approachLiu, Yi-An / Wu, Wei-Ming / Lin, Hsiao-Chiang / Lai, Jun-Cheng (Nelson) / Huang, Chin-Chou (Kevin) / Wu, Hsing-Chien (Robert) / Huang, Healthy / Tien, David et al. | 2009
- 72723A
-
Proximity matching for ArF and KrF scannersKim, Young Ki / Pohling, Lua / Hwee, Ng Teng / Kim, Jeong Soo / Benyon, Peter / Depre, Jerome / Hong, Jongkyun / Serebriakov, Alexander et al. | 2009
- 72723B
-
Scanner matching optimizationKupers, Michiel / Klingbeil, Patrick / Tschischgale, Joerg / Buhl, Stefan / Hempel, Fritjof et al. | 2009
- 72723D
-
Comparative study of process window identification methods for 45 nm device and beyondKang, HoSeong / Lee, SooCheol / Kim, MinHo / Kim, KiHo / Jeong, YongTeak / Pae, YeonHo / Lee, ChangHo et al. | 2009
- 72723E
-
Improve scanner matching using automated real-time feedback control via scanner match maker (SMM)Chiu, Shian-Huan (Cooper) / Yu, Sheng-Hsiung / Tung, Min-Hin / Wu, Lei-Ken / Yeh, Ya-Tsz / Manka, James / Huang, Chao-Tien (Healthy) / Robinson, John / Huang, Chin-Chou (Kevin) / Tien, David et al. | 2009
- 72723H
-
Hole inspection technology using Fourier imaging methodYoshino, Kiminori / Tsuchiya, Kenji / Yamazaki, Yuuichiro / Oote, Makoto / Shibayama, Koichiro / Kawai, Akitoshi / Endo, Kazumasa et al. | 2009
- 72723I
-
Investigation of factors causing difference between simulation and real SEM imageKadowaki, M. / Hamaguchi, A. / Abe, H. / Yamazaki, Y. / Borisov, S. / Ivanchikov, A. / Babin, S. et al. | 2009
- 72723J
-
Development and implementation of PWQ on patterned wafer darkfield inspection systemsStreller, Uwe / Wendt, Kay / Wehner, Arno / Goeckeritz, Jens / Gahr, Markus / Tuckermann, Martin / Kopp, Jennifer / Hellerqvist, Monica et al. | 2009
- 72723K
-
Development of a novel methodology for effective partial die inspection and monitoringLee, Byoung-Ho / Lee, Tae-Yong / Cross, Andrew / Aoki, Masami / Choi, HeungSoo / Pae, YeonHo et al. | 2009
- 72723L
-
Evaluation of a new photoresist dispense system to detect coating variationGapin, Florent / Le-Peutrec, Bernard / Stock, Laurent / Hanotte, Marc et al. | 2009
- 72723M
-
A study on effect of point-of-use filters on defect reduction for advanced 193nm processesVitorino, Nelson / Wolfer, Elizabeth / Cao, Yi / Lee, DongKwan / Wu, Aiwen et al. | 2009
- 72723N
-
Automated defect review of the wafer bevel with a defect review scanning electron microscopeMcGarvey, Steve / Kanezawa, Masakazu et al. | 2009
- 72723O
-
Results from prototype die-to-database reticle inspection systemMu, Bo / Dayal, Aditya / Broadbent, Bill / Lim, Phillip / Goonesekera, Arosha / Chen, Chunlin / Yeung, Kevin / Pinto, Becky et al. | 2009
- 72723P
-
Automated reticle inspection data analysis for wafer fabsSummers, Derek / Chen, Gong / Reese, Bryan / Hutchinson, Trent / Liesching, Marcus / Ying, Hai / Dover, Russell et al. | 2009
- 72723Q
-
Inspection and metrology tools benefit from free-form refractive micro-lens and micro-lens arraysBizjak, Tanja / Mitra, Thomas / Aschke, Lutz et al. | 2009
- 72723R
-
A scatterometry based CD metrology solution for advanced nodes, including capability of handling birefringent layers with uniaxial anisotropyKe, Chih-Ming / Hu, Jimmy / Wang, Willie / Huang, Jacky / Chung, H. L. / Liang, C. R. / Shih, Victor / Liu, H. H. / Lee, H. J. / Lin, John et al. | 2009
- 72723S
-
An inverse ellipsometric problem for thin film characterization: comparison of different optimization methodsAkbalık, Ayşe / Soulan, Sébastien / Tortai, Jean-Hervé / Fuard, David / Kone, Issiaka / Hazart, Jérôme / Schiavone, Patrick et al. | 2009
- 72723T
-
Analysis of Köhler illumination for 193 nm scatterfield microscopeSohn, Yeung Joon / Quintanilha, Richard / Howard, Lowell / Silver, Richard M. et al. | 2009
- 72723U
-
A new illumination technique for grating-based nanometer measurement applicationsJiang, Li et al. | 2009
- 72723X
-
SCATT: software to model scatterometry using the rigorous electromagnetic theoryBabin, S. / Doskolovich, L. / Ishibashi, Y. / Ivanchikov, A. / Kazanskiy, N. / Kadomin, I. / Mikami, T. / Yamazaki, Y. et al. | 2009
- 72723Y
-
Improved diffraction computation with a hybrid C-RCWA-methodBischoff, Joerg et al. | 2009
- 72723Z
-
Multi-purpose optical profiler for characterization of materials, film stacks, and for absolute topography measurementColonna de Lega, X. / Fay, Martin / de Groot, Peter / Kamenev, Boris / Kruse, J. Ryan / Haller, Mitch / Davidson, Mark / Miloslavsky, Lena / Mills, Duncan et al. | 2009
- 72724B
-
Noise-free estimation of spatial line edge/width roughness parametersConstantoudis, Vassilios / Gogolides, Evangelos et al. | 2009
- 72724C
-
Comparison of physical gate-CD with in-die at-speed non-contact measurements for bin-yield and process optimizationVickers, J. S. / Galvier, J. / Doedel, W. / Steinbrueck, G. / Borot, B. / Gatefait, M. / Gouraud, P. / Gros, P. / Johnson, G. / Babazadeh, M. et al. | 2009
- 72724D
-
Implementation of multiple ROI with single FOV for advanced mask metrologyJeong, Kyu-hwa / Frezghi, Hatsey / Tavassoli, Malahat / Kim, Stephen / Morgan, Ray et al. | 2009
- 727201
-
Front Matter: Volume 7272| 2009
- 727202
-
Improving optical measurement accuracy using multi-technique nested uncertaintiesSilver, R. M. / Zhang, N. F. / Barnes, B. M. / Zhou, H. / Heckert, A. / Dixson, R. / Germer, T. A. / Bunday, B. et al. | 2009
- 727203
-
The measurement uncertainty challenge of advanced patterning developmentRana, Narender / Archie, Chas / Lu, Wei / Banke, Bill et al. | 2009
- 727204
-
CD-SEM parameter influence on image resolution and measurement accuracyBunday, Benjamin / Kramer, Uwe et al. | 2009
- 727205
-
Role of CDAFM in achieving accurate OPC modelingUkraintsev, Vladimir A. et al. | 2009
- 727206
-
Sampling for advanced overlay process controlKato, Cindy / Kurita, Hiroyuki / Izikson, Pavel / Robinson, John C. et al. | 2009
- 727207
-
Simultaneous overlay and CD measurement for double patterning: scatterometry and RCWA approachLi, Jie / Liu, Zhuan / Rabello, Silvio / Dasari, Prasad / Kritsun, Oleg / Volkman, Catherine / Park, Jungchul / Singh, Lovejeet et al. | 2009
- 727209
-
Reference metrology in a research fab: the NIST clean calibrations thrustDixson, Ronald / Fu, Joe / Orji, Ndubuisi / Renegar, Thomas / Zheng, Alan / Vorburger, Theodore / Hilton, Al / Cangemi, Marc / Chen, Lei / Hernandez, Mike et al. | 2009
- 727210
-
CD-SEM tool stability and tool-to-tool matching management using image sharpness monitorAbe, Hideaki / Ishibashi, Yasuhiko / Yamazaki, Yuichiro / Kono, Akemi / Maeda, Tatsuya / Miura, Akihiro / Koshihara, Shunsuke / Hibino, Daisuke et al. | 2009
- 727211
-
Performance verification of resist loss measurement method using top-view CD-SEM images for hyper-NA lithographyOsaki, Mayuka / Tanaka, Maki / Shishido, Chie / Cheng, Shaunee / Laidler, David / Ercken, Monique / Altamirano, Efrain et al. | 2009
- 727212
-
Diffraction-based overlay metrology for double patterning technologiesDasari, Prasad / Korlahalli, Rahul / Li, Jie / Smith, Nigel / Kritsun, Oleg / Volkman, Cathy et al. | 2009
- 727214
-
Through-focus scanning and scatterfield optical methods for advanced overlay target analysisAttota, Ravikiran / Stocker, Michael / Silver, Richard / Heckert, Alan / Zhou, Hui / Kasica, Richard / Chen, Lei / Dixson, Ronald / Orji, George / Barnes, Bryan et al. | 2009
- 727215
-
Cr migration on 193nm binary photomasksBruley, John / Burr, Geoffrey / Davis, Robert E. / Flaitz, Philip / Hinsberg, William D. / Houle, Frances A. / Miller, Dolores C. / Pike, Michael / Rankin, Jed / Wagner, Alfred et al. | 2009
- 727216
-
Compute resource management and TAT control in mask data prepNouh, Ahmed / Jantzen, Kenneth / Park, Minyoung / Vu, Hien T. et al. | 2009
- 727217
-
Investigation of phase distribution using Phame in-die phase measurementsButtgereit, Ute / Perlitz, Sascha et al. | 2009
- 727218
-
Image library approach to evaluating parametric uncertainty in metrology of isolated feature widthPotzick, James et al. | 2009
- 727219
-
New inspection technology for hole pattern by Fourier space on hp 4x-nm generationKawai, Akitoshi / Hayano, Fuminori / Endo, Kazumasa / Yoshino, Kiminori / Yamazaki, Yuichiro et al. | 2009
- 727220
-
An investigation of perfluoroalkylamine contamination controlDallas, Andrew J. / Zastera, Dustin et al. | 2009
- 727221
-
Sub-nanometer broadband measurement of elastic displacements in optical metrology frames and other critical elementsKessenich, Grace / Bhola, Shweta / Pletner, Baruch / Horth, Wesley / Hosoi, Anette et al. | 2009
- 727222
-
Measurement of low molecular weight silicon AMC to protect UV optics in photo-lithography environmentsLobert, Jürgen M. / Miller, Charles M. / Grayfer, Anatoly / Tivin, Anne M. et al. | 2009
- 727223
-
Positive identification of lithographic photoresists using real-time index of refraction monitoring for reduced cost of ownershipJee, Ron / Pepper, Susanne / Stedman, David et al. | 2009
- 727224
-
Sub-50-nm pitch size grating reference for CD-SEM magnification calibrationNakayama, Yoshinori / Yamamoto, Jiro / Kawada, Hiroki et al. | 2009
- 727226
-
Effective purging solution to reticle haze formationTseng, Wei-Jui / Chiou, Shean-Hwan / Chiu, Ming-Chien / Lee, Po-Shin et al. | 2009
- 727227
-
Measurement of dimensions of resist mask elements below 100 nm with help of a scanning electron microscopeGavrilenko, V. P. / Kalnov, V. A. / Novikov, Yu. A. / Orlikovsky, A. A. / Rakov, A. V. / Todua, P. A. / Valiev, K. A. / Zhikharev, E. N. et al. | 2009
- 727228
-
Aerial imaging for FABs: productivity and yield aspectsEnglard, Ilan / Cohen, Yaron / Elblinger, Yair / Attal, Shay / Berns, Neil / Shoval, Lior / Ben-Yishai, Michael / Mangan, Shmoolik et al. | 2009
- 727229
-
Improved mask-based CD uniformity for gridded-design-rule lithographyFaivishevsky, Lev / Khristo, Sergey / Sagiv, Amir / Mangan, Shmoolik et al. | 2009
- 727230
-
The study and simulation of high-order overlay control including field-by-field methodologiesChoi, Dongsub / Lee, Chulseung / Bang, Changjin / Kim, Myoungsoo / Kang, Hyosang / Manka, James / Yoon, Seunghoon / Lee, Dohwa / Robinson, John C. et al. | 2009
- 727231
-
Sampling strategy: optimization and correction for high-order overlay control for 45nm process nodeHsueh, Bo Yun / Huang, George K. C. / Yu, Chun-Chi / Huang, Chin-Chou Kevin / Huang, Chien-Jen / Manka, James R. / Tien, David et al. | 2009
- 727232
-
Automated overlay recipe setup in high-volume manufacturing: improving performance, efficiency, and robustnessSparka, Christian / Golotsvan, Anna / Avrahamov, Yosef / Sitzmann, Wolfgang / Tien, David et al. | 2009
- 727233
-
Optimization of alignment strategy for metal layer on local interconnect integrationAhn, Jun-Kyu / Ha, Ji-Hyun / Kim, Hong-Ik / Park, Jeong-Lyeol / Choi, Jae-Sung / Lee, Tae-Jong et al. | 2009
- 727234
-
Challenges of long-term process stability and solutions for better controlChoi, Jinphil / Seong, Nakgeuon / Lee, Sangho / Kang, Youngseog et al. | 2009
- 727235
-
Use of 3D metrology for process controlRijpers, Bart / Finders, Jo / Suzuki, Hidekazu / Fujii, Toshiaki / Yamazaki, Yuichiro / Abe, Hideaki / Pérez-Willard, Fabián et al. | 2009
- 727236
-
Track optimization and control for 32nm node double patterning and beyondLaidler, David / Rosslee, Craig / D'havé, Koen / Leray, Philippe / Tedeschi, Len et al. | 2009
- 727237
-
Contact area as the intuitive definition of contact CD based on aerial image analysisPolonsky, Netanel / Sagiv, Amir / Mangan, Shmoolik et al. | 2009
- 727238
-
Focus and dose control for high-volume manufacturing of semiconductorSentoku, Koichi / Ebihara, Takeaki / Ina, Hideki et al. | 2009
- 727239
-
Efficient use of design-based binning methodology in a DRAM fabKarsenti, Laurent / Wehner, Arno / Fischer, Andreas / Seifert, Uwe / Goeckeritz, Jens / Geshel, Mark / Gscheidlen, Dieter / Bartov, Avishai et al. | 2009
- 727240
-
Immersion scanner proximity matching using angle resolving scatterometry metrologyKou, Ren-Jay / Jungblut, Reiner / Hauschild, Jan / Tseng, Shih-En / Shieh, Jason / Chen, Jim / Chen, Alek / Schreel, Koen et al. | 2009
- 727241
-
Integrated ODP metrology with floating n&k's for lithography processKearney, Patrick / Likhachev, Dmitriy / Uchida, Junichi / Fleischer, Göran et al. | 2009
- 727243
-
Restoring pattern CD and cross-section using scatterometry: various approachesBabin, S. / Doskolovich, L. / Kadomina, E. / Kadomin, I. / Volotovskiy, S. et al. | 2009
- 727244
-
Process optimization for optical CD correlation improvement of ADI and AEI 3D structure by using iODPLee, Anice / Lin, Chung-Yi / Chen, F. Y. / Chang, Wen-Hao / Hsu, Sean / Li, Allen / Luo, Ying / Wen, Youxian et al. | 2009
- 727245
-
Using scatterometry to improve process control during the spacer pitch splitting processCorboy, Scott / MacNaughton, Craig / Gubiotti, Thomas / Wollenweber, Marcus et al. | 2009
- 727248
-
Time dependence of SEM signal due to charging: measurements and simulation using Monte Carlo softwareAbe, H. / Babin, S. / Borisov, S. / Hamaguchi, A. / Ivanchikov, A. / Kadowaki, M. / Yamazaki, Y. et al. | 2009
-
Evaluation of a new metrology technique to support the needs of accuracy, precision, speed, and sophistication in near-future lithography [7272-09]Ke, C.-M. / Hu, J. / Wang, W. / Huang, J. / Chung, H.L. / Liang, C.R. / Shih, V. / Liu, H.H. / Lee, H.J. / Lin, J. et al. | 2009
-
Calibration of a scanning electron microscope in the wide range of magnifications for the microscope operation in the integrated circuit production line [7272-37]Gavrilenko, V.P. / Novikov, Y.A. / Rakov, A.V. / Todua, P.A. / Volk, C.P. / SPIE (Society) / International SEMATECH et al. | 2009
-
Resist-based polarization monitoring with phase-shift masks at 1.35 numerical aperture [7272-76]McIntyre, G. / Tu, R. / SPIE (Society) / International SEMATECH et al. | 2009
-
Positive identification of lithographic photoresists using real-time index of refraction monitoring for reduced cost of ownership [7272-82]Jee, R. / Pepper, S. / Stedman, D. / SPIE (Society) / International SEMATECH et al. | 2009
-
Improved mask-based CD uniformity for gridded-design-rule lithography [7272-89]Faivishevsky, L. / Khristo, S. / Sagiv, A. / Mangan, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
Proximity matching for ArF and KrF scanners [7272-132]Kim, Y.K. / Pohling, L. / Hwee, N.T. / Kim, J.S. / Benyon, P. / Depre, J. / Hong, J. / Serebriakov, A. / SPIE (Society) / International SEMATECH et al. | 2009
-
Scanner matching optimization [7272-133]Kupers, M. / Klingbeil, P. / Tschischgale, J. / Buhl, S. / Hempel, F. / SPIE (Society) / International SEMATECH et al. | 2009
-
Comparison of physical gate-CD with in-die at-speed non-contact measurements for bin-yield and process optimization [7272-178]Vickers, J.S. / Galvier, J. / Doedel, W. / Steinbrueck, G. / Borot, B. / Gatefait, M. / Gouraud, P. / Gros, P. / Johnson, G. / Babazadeh, M. et al. | 2009
-
Improving optical measurement accuracy using multi-technique nested uncertainties (Keynote Paper) [7272-01]Silver, R.M. / Zhang, N.F. / Barnes, B.M. / Zhou, H. / Heckert, A. / Dixson, R. / Germer, T.A. / Bunday, B. / SPIE (Society) / International SEMATECH et al. | 2009
-
Dark-field optical scatterometry for line width roughness metrology [7272-22]Zhuang, G.V. / Spielman, S. / Fielden, J. / Wack, D.C. / Poslavsky, L. / Bunday, B.D. / SPIE (Society) / International SEMATECH et al. | 2009
-
CD-SEM tool stability and tool-to-tool matching management using image sharpness monitor [7272-38]Abe, H. / Ishibashi, Y. / Yamazaki, Y. / Kono, A. / Maeda, T. / Miura, A. / Koshihara, S. / Hibino, D. / SPIE (Society) / International SEMATECH et al. | 2009
-
Phenomenology of electron-beam-induced photoresist shrinkage trends [7272-138]Bunday, B. / Cordes, A. / Allgair, J. / Tileli, V. / Avitan, Y. / Peltinov, R. / Bar-zvi, M. / Adan, O. / Cottrell, E. / Hand, S. et al. | 2009
-
Study of device leakage of 45nm node with different SRAM layouts using an advanced e-beam inspection system [7272-55]Xiao, H. / Ma, L. / Zhao, Y. / Jau, J. / SPIE (Society) / International SEMATECH et al. | 2009
-
Quantitative measurement of voltage contrast in SEM images for in-line resistance inspection of wafers manufactured for SRAM [7272-54]Matsui, M. / Odaka, T. / Nagaishi, H. / Sakurai, K. / SPIE (Society) / International SEMATECH et al. | 2009
-
The measurement uncertainty challenge for the future technological nodes production and development [7272-125]Foucher, J. / Faurie, P. / Foucher, A.-L. / Cordeau, M. / Farys, V. / SPIE (Society) / International SEMATECH et al. | 2009
-
Uncertainty and sensitivity analysis and its applications in OCD measurements [7272-65]Vagos, P. / Hu, J. / Liu, Z. / Rabello, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
Contour quality assessment for OPC model calibration [7272-108]Filitchkin, P. / Do, T. / Kusnadi, I. / Sturtevant, J.L. / de Bisschop, P. / Van de Kerkhove, J. / SPIE (Society) / International SEMATECH et al. | 2009
-
Overlay mark optimization using the KTD signal simulation system [7272-117]Marchelli, A. / Gutjahr, K. / Kubis, M. / Sparka, C. / Ghinovker, M. / Navarra, A. / Widmann, A. / SPIE (Society) / International SEMATECH et al. | 2009
-
Automated reticle inspection data analysis for wafer fabs [7272-152]Summers, D. / Chen, G. / Reese, B. / Hutchinson, T. / Liesching, M. / Ying, H. / Dover, R. / SPIE (Society) / International SEMATECH et al. | 2009
-
Restoring pattern CD and cross-section using scatterometry: various approaches [7272-168]Babin, S. / Doskolovich, L. / Kadomina, E. / Kadomin, I. / Volotovskiy, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
Noise-free estimation of spatial line edge/width roughness parameters [7272-177]Constantoudis, V. / Gogolides, E. / SPIE (Society) / International SEMATECH et al. | 2009
-
Simultaneous overlay and CD measurement for double patterning: scatterometry and RCWA approach [7272-06]Li, J. / Liu, Z. / Rabello, S. / Dasari, P. / Kritsun, O. / Volkman, C. / Park, J. / Singh, L. / SPIE (Society) / International SEMATECH et al. | 2009
-
MOSAIC: a new wavefront metrology [7272-10]Anderson, C.N. / Naulleau, P.P. / SPIE (Society) / International SEMATECH et al. | 2009
-
Process variation monitoring (PVM) by wafer inspection tool as a complementary method to CD-SEM for mapping LER and defect density on production wafers [7272-25]Shabtay, S. / Blumberg, Y. / Levi, S. / Greenberg, G. / Harel, D. / Conley, A. / Meshulach, D. / Kan, K. / Dolev, I. / Kumar, S. et al. | 2009
-
Outlier detection by fuzzy classification method for model building [7272-57]Top, M.K. / Trouiller, Y. / Farys, V. / Fuard, D. / Yesilada, E. / Martinelli, C. / Said, M. / Foussadier, F. / Schiavone, P. / SPIE (Society) et al. | 2009
-
Sensitivity improvement and noise reduction of array CD mapping on memory device using inspection tool [7272-52]Yeo, J.-H. / Cho, B.-O. / Park, J.-H. / Hur, J. / Woo, S.-H. / Choi, S. / Park, C.-H. / SPIE (Society) / International SEMATECH et al. | 2009
-
Application results of lot-to-lot high-order overlay correction for sub-60-nm memory device fabrication [7272-19]Shin, J. / Nam, S. / Kim, T. / Bae, Y.-K. / Lee, J. / SPIE (Society) / International SEMATECH et al. | 2009
-
Analysis of systematic errors in lateral shearing interferometry for EUV optical testing [7272-71]Miyakawa, R. / Naulleau, P. / Goldberg, K. / SPIE (Society) / International SEMATECH et al. | 2009
-
Challenges of long-term process stability and solutions for better control [7272-126]Choi, J. / Seong, N. / Lee, S. / Kang, Y. / SPIE (Society) / International SEMATECH et al. | 2009
-
Hole inspection technology using Fourier imaging method [7272-140]Yoshino, K. / Tsuchiya, K. / Yamazaki, Y. / Oote, M. / Shibayama, K. / Kawai, A. / Endo, K. / SPIE (Society) / International SEMATECH et al. | 2009
-
Development and implementation of PWQ on patterned wafer darkfield inspection systems [7272-145]Streller, U. / Wendt, K. / Wehner, A. / Goeckeritz, J. / Gahr, M. / Tuckermann, M. / Kopp, J. / Hellerqvist, M. / SPIE (Society) / International SEMATECH et al. | 2009
-
Results from prototype die-to-database reticle inspection system [7272-151]Mu, B. / Dayal, A. / Broadbent, B. / Lim, P. / Goonesekera, A. / Chen, C. / Yeung, K. / Pinto, B. / SPIE (Society) / International SEMATECH et al. | 2009
-
CD-SEM parameter influence on image resolution and measurement accuracy [7272-03]Bunday, B. / Kramer, U. / SPIE (Society) / International SEMATECH et al. | 2009
-
Product-driven material characterization for improved scatterometry time-to-solution [7272-32]Vaid, A. / Hartig, C. / Sendelbach, M. / Bozdog, C. / Kim, H.K. / Sendler, M. / Cohen, Y. / Kucherov, V. / Brill, B. / Stepanov, S. et al. | 2009
-
Manufacturing implementation of scatterometry and other techniques for 300-mm lithography tool controls [7272-33]Wiltshire, T. / Corliss, D. / Brunner, T. / Ausschnitt, C. / Young, R. / Nielson, R. / Hwang, E. / Iannucci, J. / SPIE (Society) / International SEMATECH et al. | 2009
-
Performance verification of resist loss measurement method using top-view CD-SEM images for hyper-NA lithography [7272-39]Osaki, M. / Tanaka, M. / Shishido, C. / Cheng, S. / Laidler, D. / Ercken, M. / Altamirano, E. / SPIE (Society) / International SEMATECH et al. | 2009
-
Through-focus scanning and scatterfield optical methods for advanced overlay target analysis [7272-43]Attota, R. / Stocker, M. / Silver, R. / Heckert, A. / Zhou, H. / Kasica, R. / Chen, L. / Dixson, R. / Orji, G. / Barnes, B. et al. | 2009
-
Development of optical simulation tool for defect inspection [7272-50]Fujii, T. / Konno, Y. / Okada, N. / Yoshino, K. / Yamazaki, Y. / SPIE (Society) / International SEMATECH et al. | 2009
-
Systematic defect filtering and data analysis methodology for design based metrology [7272-53]Yang, H. / Kim, J. / Lee, T. / Jung, A. / Yoo, G. / Yim, D. / Park, S. / Hasebe, T. / Yamamoto, M. / Cai, J. et al. | 2009
-
Measurement of low molecular weight silicon AMC to protect UV optics in photolithography environments [7272-81]Lobert, J.M. / Miller, C.M. / Grayfer, A. / Tivin, A.M. / SPIE (Society) / International SEMATECH et al. | 2009
-
Aerial imaging for FABs: productivity and yield aspects [7272-88]Englard, I. / Cohen, Y. / Elblinger, Y. / Attal, S. / Berns, N. / Shoval, L. / Ben-Yishai, M. / Mangan, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
Improving capability of recipe management on CD-SEM using recipe diagnostic tool [7272-96]Nishiuchi, K. / Nakano, S. / Nishino, M. / Yang, K. / Kakuta, J. / Nakata, Y. / Koshihara, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
Applications of AFM in semiconductor R&D and manufacturing at 45 nm technology node and beyond [7272-109]Lee, M.-K. / Shin, M. / Bao, T. / Song, C.-G. / Dawson, D. / Ihm, D.-C. / Ukraintsev, V. / SPIE (Society) / International SEMATECH et al. | 2009
-
Use of 3D metrology for process control [7272-127]Rijpers, B. / Finders, J. / Suzuki, H. / Fujii, T. / Yamazaki, Y. / Abe, H. / Perez-Willard, F. / SPIE (Society) / International SEMATECH et al. | 2009
-
Development of a novel methodology for effective partial die inspection and monitoring [7272-147]Lee, B.-H. / Lee, T.-Y. / Cross, A. / Aoki, M. / Choi, H. / Pae, Y. / SPIE (Society) / International SEMATECH et al. | 2009
-
A scatterometry-based CD metrology solution for advanced nodes, including capability of handling birefringent layers with uniaxial anisotropy [7272-155]Ke, C.-M. / Hu, J. / Wang, W. / Huang, J. / Chung, H.L. / Liang, C.R. / Shih, V. / Liu, H.H. / Lee, H.J. / Lin, J. et al. | 2009
-
A CD AFM study of the plasma impact on 193nm photoresist LWR: role of plasma UV and ions [7272-23]Pargon, E. / Martin, M. / Menguelti, K. / Azarnouche, L. / Foucher, J. / Joubert, O. / SPIE (Society) / International SEMATECH et al. | 2009
-
Methodologies for evaluating CD-matching of CD-SEM [7272-36]Kawada, H. / Ke, C.-M. / Cheng, Y.-C. / Wang, Y.-H. / SPIE (Society) / International SEMATECH et al. | 2009
-
Compute resource management and TAT control in mask data prep [7272-46]Nouh, A. / Jantzen, K. / Park, M. / Vu, H.T. / SPIE (Society) / International SEMATECH et al. | 2009
-
Haze generation model and prevention techniques for sulfate free cleaned mask [7272-74]Patil, M. / Kim, J.-M. / Hur, I.-B. / Choi, S.-S. / SPIE (Society) / International SEMATECH et al. | 2009
-
Study of advanced mask inspection optics with super-resolution method for next-generation mask fabrication [7272-90]Hirano, R. / Hirono, M. / Ogawa, R. / Kikuiri, N. / Takahara, K. / Hashimoto, H. / Shigemura, H. / SPIE (Society) / International SEMATECH et al. | 2009
-
Simulation of secondary electron emission in helium ion microscope for overcut and undercut line-edge patterns [7272-103]Yamanaka, T. / Inai, K. / Ohya, K. / Ishitani, T. / SPIE (Society) / International SEMATECH et al. | 2009
-
Multi-purpose optical profiler for characterization of materials, film stacks, and for absolute topography measurement [7272-163]de Lega, X.C. / Fay, M. / de Groot, P. / Kamenev, B. / Kruse, J.R. / Haller, M. / Davidson, M. / Miloslavsky, L. / Mills, D. / SPIE (Society) et al. | 2009
-
Sampling for advanced overlay process control [7272-05]Kato, C. / Kurita, H. / Izikson, P. / Robinson, J.C. / SPIE (Society) / International SEMATECH et al. | 2009
-
Reference metrology in a research fab: the NIST clean calibrations thrust [7272-08]Dixson, R. / Fu, J. / Orji, N. / Renegar, T. / Zheng, A. / Vorburger, T. / Hilton, A. / Cangemi, M. / Chen, L. / Hernandez, M. et al. | 2009
-
Role of CDAFM in achieving accurate OPC modeling [7272-04]Ukraintsev, V.A. / SPIE (Society) / International SEMATECH et al. | 2009
-
A practical application of multiple parameters profile characterization (MPPC) using CD-SEM on production wafers using hyper-NA lithography [7272-95]Ishimoto, T. / Sekiguchi, K. / Hasegawa, N. / Watanabe, K. / Laidler, D. / Cheng, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
High-precision CD matching monitoring technology using profile gradient method for the 32-nm technology generation [7272-105]Ikegami, T. / Kono, A. / Maeda, T. / Osaki, M. / Shishido, C. / SPIE (Society) / International SEMATECH et al. | 2009
-
Intrafield process control for 45 nm CMOS logic patterning [7272-107]Le Gratiet, B. / Massin, J. / Ostrovski, A. / Monget, C. / Decaux, M. / Thivolle, N. / Faure, R. / Baron, F. / Chapon, J.-D. / Dabertrand, K. et al. | 2009
-
Automated overlay recipe setup in high-volume manufacturing: improving performance, efficiency, and robustness [7272-122]Sparka, C. / Golotsvan, A. / Avrahamov, Y. / Sitzmann, W. / Tien, D. / SPIE (Society) / International SEMATECH et al. | 2009
-
Efficient use of design-based binning methodology in a DRAM fab [7272-131]Karsenti, L. / Wehner, A. / Fischer, A. / Seifert, U. / Goeckeritz, J. / Geshel, M. / Gscheidlen, D. / Bartov, A. / SPIE (Society) / International SEMATECH et al. | 2009
-
Improved diffraction computation with a hybrid C-RCWA-method [7272-162]Bischoff, J. / SPIE (Society) / International SEMATECH et al. | 2009
-
Integrated ODP metrology with floating n&k's for lithography process [7272-165]Keamey, P. / Likhachev, D. / Uchida, J. / Fleischer, G. / SPIE (Society) / International SEMATECH et al. | 2009
-
Overlay metrology for double patterning processes [7272-15]Leray, P. / Cheng, S. / Laidler, D. / Kandel, D. / Adel, M. / Dinu, B. / Polli, M. / Vasconi, M. / Salski, B. / SPIE (Society) et al. | 2009
-
Polar Correction: new overlay control method for higher-order intra-field error dependent on the wafer coordinates [7272-21]Takakuwa, M. / Toriumi, K. / Komine, N. / Ishigo, K. / Kono, T. / Nakasugi, T. / Higashiki, T. / SPIE (Society) / International SEMATECH et al. | 2009
-
Diffraction-based overlay metrology for double patterning technologies [7272-41]Dasari, P. / Korlahalli, R. / Li, J. / Smith, N. / Kritsun, O. / Volkman, C. / SPIE (Society) / International SEMATECH et al. | 2009
-
Image library approach to evaluating parametric uncertainty in metrology of isolated feature width [7272-48]Potzick, J. / SPIE (Society) / International SEMATECH et al. | 2009
-
Increased uniformity control in a 45nm polysilicon gate etch process [7272-124]Parkinson, B. / Prager, D. / Funk, M. / Sundararajan, R. / Yamashita, A. / Bandy, K. / Meyette, E. / SPIE (Society) / International SEMATECH et al. | 2009
-
Measurement of dimensions of resist mask elements below 100 nm with help of a scanning electron microscope [7272-87]Gavrilenko, V.P. / Kalnov, V.A. / Novikov, Y.A. / Orlikovsky, A.A. / Rakov, A.V. / Todua, P.A. / Valiev, K.A. / Zhikharev, E.N. / SPIE (Society) / International SEMATECH et al. | 2009
-
Novel lithography approach using feed-forward mask-based wafer CDU correction to increase fab productivity and yield [7272-91]Mangan, S. / Byers, E. / Rost, D. / Garrett, M. / Carlson, M. / Hickman, C. / Finders, J. / Luehrmann, P. / Kazinczi, R. / Minnaert-Janssen, I. et al. | 2009
-
CD budget analysis on sub-50nm DRAM device: global CD variation to local CD variation [7272-106]Hwang, C. / Park, J. / Yeo, J. / Choi, S.-W. / Park, C.-H. / SPIE (Society) / International SEMATECH et al. | 2009
-
The study and simulation of high-order overlay control including field-by-field methodologies [7272-120]Choi, D. / Lee, C. / Bang, C. / Kim, M. / Kang, H. / Manka, J. / Yoon, S. / Lee, D. / Robinson, J.C. / SPIE (Society) et al. | 2009
-
Automated defect review of the wafer bevel with a defect review scanning electron microscope [7272-150]McGarvey, S. / Kanezawa, M. / SPIE (Society) / International SEMATECH et al. | 2009
-
Inspection and metrology tools benefit from free-form refractive micro-lens and micro-lens arrays [7272-153]Bizjak, T. / Mitra, T. / Aschke, L. / SPIE (Society) / International SEMATECH et al. | 2009
-
Immersion specific error contribution to overlay control [7272-12]D have, K. / Laidler, D. / Cheng, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
Requirements of the inspection for double patterning technology reticles [7272-51]Cho, W. / Kim, W.-S. / Sohn, S.-J. / Lee, S. / Choi, J. / Kim, Y. / Cho, H. / SPIE (Society) / International SEMATECH et al. | 2009
-
Effective purging solution to reticle haze formation [7272-86]Tseng, W.-J. / Chiou, S.-H. / Chiu, M.-C. / Lee, P.-S. / SPIE (Society) / International SEMATECH et al. | 2009
-
Nonplanar high-k dielectrics thickness measurement using CD-SAXS [7272-104]Wang, C. / Choi, K.-W. / Chen, Y.-C. / Price, J. / Ho, D.L. / Jones, R.L. / Soles, C. / Lin, E.K. / Wu, W. / Bunday, B.D. et al. | 2009
-
WLCD: a new system for wafer level CD metrology on photomasks [7272-111]Martin, S. / Seitz, H. / Degel, W. / Buttgereit, U. / Scherubl, T. / SPIE (Society) / International SEMATECH et al. | 2009
-
Contact area as the intuitive definition of contact CD based on aerial image analysis [7272-129]Polonsky, N. / Sagiv, A. / Mangan, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
Focus and dose control for high-volume manufacturing of semiconductor [7272-130]Sentoku, K. / Ebihara, T. / Ina, H. / SPIE (Society) / International SEMATECH et al. | 2009
-
Analysis of Kohler illumination for 193 nm scatterfield microscope [7272-157]Sohn, Y.J. / Quintanilha, R. / Howard, L. / Silver, R.M. / SPIE (Society) / International SEMATECH et al. | 2009
-
Time dependence of SEM signal due to charging: measurements and simulation using Monte Carlo software [7272-174]Abe, H. / Babin, S. / Borisov, S. / Hamaguchi, A. / Ivanchikov, A. / Kadowaki, M. / Yamazaki, Y. / SPIE (Society) / International SEMATECH et al. | 2009
-
Implementation of multiple ROI with single FOV for advanced mask metrology [7272-179]Jeong, K. / Frezghi, H. / Tavassoli, M. / Kim, S. / Morgan, R. / SPIE (Society) / International SEMATECH et al. | 2009
-
Overlay similarity: a new overlay index for metrology tool and scanner overlay fingerprint methodology [7272-13]Ke, C.-M. / Kao, C.-P. / Wang, Y. / Hu, J. / Chang, C.-Y. / Tsai, Y.-J. / Yen, A. / Lin, B.J. / SPIE (Society) / International SEMATECH et al. | 2009
-
Effects of plasma spatial profile on conversion efficiency of laser produced plasma sources for EUV lithography [7272-73]Hassanein, A. / Sizyuk, V. / Sizyuk, T. / Harilal, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
Validation of CD-SEM etching residue evaluation technique for MuGFET structures [7272-27]Isawa, M. / Tanaka, M. / Maeda, T. / Watanabe, K. / Vandeweyer, T. / Collaert, N. / Rooyackers, R. / SPIE (Society) / International SEMATECH et al. | 2009
-
Investigation of phase distribution using Phame in-die phase measurements [7272-47]Buttgereit, U. / Perlitz, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
New inspection technology for hole pattern by Fourier space on hp 4x-nm generation [7272-49]Kawai, A. / Hayano, F. / Endo, K. / Yoshino, K. / Yamazaki, Y. / SPIE (Society) / International SEMATECH et al. | 2009
-
Two-dimensional dose and focus-error measurement technology for exposure tool management in half-pitch 3x generation [7272-59]Fukazawa, K. / Kudo, Y. / Fujimori, Y. / Yoshino, K. / Yamazaki, Y. / SPIE (Society) / International SEMATECH et al. | 2009
-
AFM method for sidewall measurement through CNT probe deformation correction and its accuracy evaluation [7272-70]Watanabe, M. / Baba, S. / Nakata, T. / Itoh, H. / Morimoto, T. / Sekino, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
Sub-nanometer broadband measurement of elastic displacements in optical metrology frames and other critical elements [7272-80]Kessenich, G. / Bhola, S. / Pletner, B. / Horth, W. / Hosoi, A. / SPIE (Society) / International SEMATECH et al. | 2009
-
Sub-50-nm pitch size grating reference for CD-SEM magnification calibration [7272-84]Nakayama, Y. / Yamamoto, J. / Kawada, H. / SPIE (Society) / International SEMATECH et al. | 2009
-
Fast analysis and diagnostics for improving overlay control: moving beyond the black box approach [7272-119]Liu, Y.-A. / Wu, W.-M. / Lin, H.-C. / Lai, J.-C. / Huang, C.-C. / Wu, H.-C. / Huang, H. / Tien, D. / SPIE (Society) / International SEMATECH et al. | 2009
-
Optimization of alignment strategy for metal layer on local interconnect integration [7272-123]Ahn, J.-K. / Ha, J.-H. / Kim, H.-I. / Park, J.-L. / Choi, J.-S. / Lee, T.-J. / SPIE (Society) / International SEMATECH et al. | 2009
-
Track optimization and control for 32nm node double patterning and beyond [7272-128]Laidler, D. / Rosslee, C. / D have, K. / Leray, P. / Tedeschi, L. / SPIE (Society) / International SEMATECH et al. | 2009
-
Evaluation of a new photoresist dispense system to detect coating variation [7272-148]Gapin, F. / Le-Peutrec, B. / Stock, L. / Hanotte, M. / SPIE (Society) / International SEMATECH et al. | 2009
-
A new illumination technique for grating-based nanometer measurement applications [7272-158]Jiang, L. / SPIE (Society) / International SEMATECH et al. | 2009
-
Using intrafield high-order correction to achieve overlay requirement beyond sub-40nm node [7272-20]Huang, C.Y. / Chue, C.F. / Liu, A.-H. / Wu, W.B. / Shih, C.L. / Chiou, T.-B. / Lee, J. / Chen, O. / Chen, A. / SPIE (Society) et al. | 2009
-
SEM metrology damage in polysilicon line and its impact on LWR evaluation [7272-24]Wang, S.-B. / Lee, W.-Y. / Chiu, Y.H. / Tao, H.J. / Mii, Y.J. / SPIE (Society) / International SEMATECH et al. | 2009
-
Sensitivity of SEM width measurements to model assumptions [7272-28]Villarrubia, J.S. / Ding, Z.J. / SPIE (Society) / International SEMATECH et al. | 2009
-
Developing an uncertainty analysis for optical scatterometry [7272-30]Germer, T.A. / Patrick, H.J. / Silver, R.M. / Bunday, B. / SPIE (Society) / International SEMATECH et al. | 2009
-
Cr migration on 193nm binary photomasks [7272-44]Bruley, J. / Burr, G. / Davis, R.E. / Flaitz, P. / Hinsberg, W.D. / Houle, F.A. / Miller, D.C. / Pike, M. / Rankin, J. / Wagner, A. et al. | 2009
-
Hotspot monitoring system with contour-based metrology [7272-56]Kawamoto, A. / Tanaka, Y. / Tsuda, S. / Shibayama, K. / Furukawa, S. / Abe, H. / Mitsui, T. / Yamazaki, Y. / SPIE (Society) / International SEMATECH et al. | 2009
-
Alignment method of self-aligned double patterning process [7272-16]Tsai, M.-F. / Lai, J.-C. / Chang, Y.-S. / Lin, C.-C. / SPIE (Society) / International SEMATECH et al. | 2009
-
Fast mask CD uniformity measurement using zero order diffraction from memory array pattern [7272-45]Heo, J. / Park, J. / Yeo, J. / Choi, S. / Han, W. / SPIE (Society) / International SEMATECH et al. | 2009
-
An investigation of perfluoroalkylamine contamination control [7272-79]Dallas, A.J. / Zastera, D. / SPIE (Society) / International SEMATECH et al. | 2009
-
Sampling strategy: optimization and correction for high-order overlay control for 45nm process node [7272-121]Hsueh, B.Y. / Huang, G.K.C. / Yu, C.-C. / Huang, C.-C. / Huang, C.-J. / Manka, J.R. / Tien, D. / SPIE (Society) / International SEMATECH et al. | 2009
-
A study on effect of point-of-use filters on defect reduction for advanced 193nm processes [7272-149]Vitorino, N. / Wolfer, E. / Cao, Y. / Lee, D. / Wu, A. / SPIE (Society) / International SEMATECH et al. | 2009
-
Process optimization for optical CD correlation improvement of ADI and AEI 3D structure by using iODP [7272-169]Lee, A. / Lin, C.-Y. / Chen, F.Y. / Chang, W.-H. / Hsu, S. / Li, A. / Luo, Y. / Wen, Y. / SPIE (Society) / International SEMATECH et al. | 2009
-
The measurement uncertainty challenge of advanced patterning development (Keynote Paper) [7272-02]Rana, N. / Archie, C. / Lu, W. / Banke, B. / SPIE (Society) / International SEMATECH et al. | 2009
-
Accurate electrical prediction of memory array through SEM-based edge-contour extraction using SPICE simulation [7272-29]Shauly, E. / Rotstein, I. / Peltinov, R. / Latinski, S. / Adan, O. / Levi, S. / Menadeva, O. / SPIE (Society) / International SEMATECH et al. | 2009
-
Effect of line-width roughness on optical scatterometry measurements [7272-31]Bergner, B.C. / Germer, T.A. / Suleski, T.J. / SPIE (Society) / International SEMATECH et al. | 2009
-
Angle-resolved scatterfield microscope for linewidth measurement [7272-63]Shyu, D.-M. / Ku, Y.-S. / Hsu, W.-T. / SPIE (Society) / International SEMATECH et al. | 2009
-
CD bias reduction in CD-SEM line-width measurement for the 32nm node and beyond using the model-based library method [7272-93]Shishido, C. / Tanaka, M. / Osaki, M. / SPIE (Society) / International SEMATECH et al. | 2009
-
Three-dimensional profile extraction from CD-SEM top-view image [7272-97]Yamaguchi, A. / Momonoi, Y. / Murayama, K. / Kawada, H. / Tanaka, J. / SPIE (Society) / International SEMATECH et al. | 2009
-
3D touch trigger probe based on fiber Bragg gratings [7272-112]Ding, B. / Fei, Y. / Fan, Z. / SPIE (Society) / International SEMATECH et al. | 2009
-
Advanced modeling strategies to improve overlay control for 32-nm lithography processes [7272-115]Minvielle, A. / Singh, L. / Schefske, J. / Reiss, J. / Kent, E. / Manchester, T. / Eichelberger, B. / O Brien, K. / Manka, J. / Robinson, J.C. et al. | 2009
-
Improve scanner matching using automated real-time feedback control via scanner match maker (SMM) [7272-136]Chiu, S.-H. / Yu, S.-H. / Tung, M.-H. / Wu, L.-K. / Yeh, Y.-T. / Manka, J. / Huang, C.-T. / Robinson, J. / Huang, C.-C. / Tien, D. et al. | 2009
-
Investigation of factors causing difference between simulation and real SEM image [7272-143]Kadowaki, M. / Hamaguchi, A. / Abe, H. / Yamazaki, Y. / Borisov, S. / Ivanchikov, A. / Babin, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
SCATT: software to model scatterometry using the rigorous electromagnetic theory [7272-161]Babin, S. / Doskolovich, L. / Ishibashi, Y. / Ivanchikov, A. / Kazanskiy, N. / Kadomin, I. / Mikami, T. / Yamazaki, Y. / SPIE (Society) / International SEMATECH et al. | 2009
-
Tabletop coherent diffractive microscopy with extreme ultraviolet light from high harmonic generation (Best Student Paper Award) [7272-14]Raymondson, D.A. / Sandberg, R.L. / Schlotter, W.F. / Raines, K.S. / La-o-Vorakiat, C. / Townsend, E. / Sakdinawat, A. / Paul, A. / Miao, J. / Murnane, M.M. et al. | 2009
-
Implementation of the high order overlay control for mass production of 40nm node logic devices [7272-18]Umeda, D. / Miyasaka, M. / Uchiyama, T. / SPIE (Society) / International SEMATECH et al. | 2009
-
Monitoring measurement tools: new methods for driving continuous improvements in fleet measurement uncertainty [7272-58]Solecky, E. / Archie, C. / Sendelbach, M. / Fiege, R. / Zaitz, M. / Shneyder, D. / Strocchia-rivera, C. / Munoz, A. / Rangarajan, S. / Muth, W. et al. | 2009
-
Optical CD metrology model evaluation and refining for manufacturing [7272-64]Wang, S.-B. / Huang, C.L. / Chiu, Y.H. / Tao, H.J. / Mii, Y.J. / SPIE (Society) / International SEMATECH et al. | 2009
-
New approach for mask-wafer measurement by design-based metrology integration system [7272-94]Maeda, T. / Hayano, K. / Kawashima, S. / Mohri, H. / Sakai, H. / Sato, H. / Matsuoka, R. / Nishihara, M. / Sukegawa, S. / SPIE (Society) et al. | 2009
-
3D-AFM booster for mass-production nanoimprint lithography [7272-101]Foucher, A.-L. / Foucher, J. / Landis, S. / SPIE (Society) / International SEMATECH et al. | 2009
-
In-die registration metrology on future-generation reticles [7272-114]Roeth, K.-D. / Laske, F. / Kinoshita, H. / Kenmochi, D. / Schmidt, K.-H. / Adam, D. / SPIE (Society) / International SEMATECH et al. | 2009
-
Comparative study of process window identification methods for 45 nm device and beyond [7272-135]Kang, H. / Lee, S. / Kim, M. / Kim, K. / Jeong, Y. / Pae, Y. / Lee, C. / SPIE (Society) / International SEMATECH et al. | 2009
-
An inverse ellipsometric problem for thin film characterization: comparison of different optimization methods [7272-156]Akbalik, A. / Soulan, S. / Tortai, J.-H. / Fuard, D. / Kone, I. / Hazart, J. / Schiavone, P. / SPIE (Society) / International SEMATECH et al. | 2009
-
Immersion scanner proximity matching using angle resolving scatterometry metrology [7272-164]Kou, R.-J. / Jungblut, R. / Hauschild, J. / Tseng, S.-E. / Shieh, J. / Chen, J. / Chen, A. / Schreel, K. / SPIE (Society) / International SEMATECH et al. | 2009
-
Using scatterometry to improve process control during the spacer pitch splitting process [7272-171]Corboy, S. / MacNaughton, C. / Gubiotti, T. / Wollenweber, M. / SPIE (Society) / International SEMATECH et al. | 2009
-
Arbitrary precision value overlay and alignment system by double positioning of mask and wafer and electronic datum and nano sensor [7272-175]Bear, W.L. / Xiong, X.-W. / SPIE (Society) / International SEMATECH et al. | 2009