EUV multilayer defect compensation (MDC): latest progress on model and compensation methods [8880-53] (Englisch)
- Neue Suche nach: Pang, L.
- Neue Suche nach: Satake, M.
- Neue Suche nach: Li, Y.
- Neue Suche nach: Hu, P.
- Neue Suche nach: Peng, D.
- Neue Suche nach: Chen, D.
- Neue Suche nach: Tolani, V.
- Neue Suche nach: SPIE (Society)
- Neue Suche nach: Pang, L.
- Neue Suche nach: Satake, M.
- Neue Suche nach: Li, Y.
- Neue Suche nach: Hu, P.
- Neue Suche nach: Peng, D.
- Neue Suche nach: Chen, D.
- Neue Suche nach: Tolani, V.
- Neue Suche nach: Faure, Thomas B.
- Neue Suche nach: Ackmann, Paul W.
- Neue Suche nach: SPIE (Society)
In:
Photomask technology 2013: 10-12 September 2013, Monterey, California, United States /
;
8880 1H
;
2013
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Print
-
Titel:EUV multilayer defect compensation (MDC): latest progress on model and compensation methods [8880-53]
-
Beteiligte:Pang, L. ( Autor:in ) / Satake, M. ( Autor:in ) / Li, Y. ( Autor:in ) / Hu, P. ( Autor:in ) / Peng, D. ( Autor:in ) / Chen, D. ( Autor:in ) / Tolani, V. ( Autor:in ) / Faure, Thomas B. / Ackmann, Paul W. / SPIE (Society)
-
Kongress:Technical conference, Photomask technology 2013: 10-12 September 2013, Monterey, California, United States / ; 2013 ; Monterey, CA
-
Erschienen in:PROCEEDINGS - SPIE THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING ; 8880 ; 8880 1H
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsort:Bellingham
-
Erscheinungsdatum:01.01.2013
-
Format / Umfang:8880 1H
-
Anmerkungen:Held as part of the 33rd international symposium on photomask technology 2013. Includes bibliographical references and index.
-
ISBN:
-
ISSN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 88800A
-
Automated Defect Classification (ADC) and Progression Monitoring (DPM) in wafer fab reticle requalificationYen, T. H. / Lai, Rick / Tuo, Laurent C. / Tolani, Vikram / Chen, Dongxue / Hu, Peter / Yu, Jiao / Hwa, George / Zheng, Yan / Lakkapragada, Suresh et al. | 2013
- 88800B
-
Performance of an automatic algorithm for quantifying critical dimensions in actinic aerial imagesUzzel, Doug / Ma, Mark / Hedges, Shad E. / Munir, Saghir et al. | 2013
- 88800C
-
Improve mask inspection capacity with Automatic Defect Classification (ADC)Wang, Crystal / Ho, Steven / Guo, Eric / Wang, Kechang / Lakkapragada, Suresh / Yu, Jiao / Hu, Peter / Tolani, Vikram / Pang, Linyong et al. | 2013
- 88800D
-
Increasing reticle inspection efficiency and reducing wafer print-checks using automated defect classification and simulationRyu, Sung Jae / Lim, Sung Taek / Vacca, Anthony / Fiekowsky, Peter / Fiekowsky, Dan et al. | 2013
- 88800E
-
Your worst nightmare: inspection of aggressive OPC on 14nm masks with emphasis on defect sensitivity and wafer defect print predictabilityBadger, Karen D. / Hibbs, Michael / Rankin, Jed / Seki, Kazunori / Stobert, Ian / Dechene, Daniel J. / Bleiman, Ben / Ghosal, Mini / Broadbent, William / Redding, Vincent et al. | 2013
- 88800F
-
The impact of 14nm photomask variability and uncertainty on computational lithography solutionsSturtevant, John / Tejnil, Edita / Buck, Peter D. / Schulze, Steffen / Kalk, Franklin / Nakagawa, Kent / Ning, Guoxiang / Ackmann, Paul / Gans, Fritz / Buergel, Christian et al. | 2013
- 88800G
-
An accurate ILT-enabling full-chip mask 3D model for all-angle patternsZhang, Hongbo / Yan, Qiliang / Croffie, Ebo / Zhang, Lin / Fan, Yongfa et al. | 2013
- 88800H
-
Simulation study of CD variation caused by field edge effects and out-of-band radiation in EUVLGao, Weimin / Niroomand, Ardavan / Lorusso, Gian F. / Boone, Robert / Lucas, Kevin / Demmerle, Wolfgang et al. | 2013
- 88800I
-
Color balancing for triple patterning lithography with complex designsTian, Haitong / Zhang, Hongbo / Wong, Martin D. F. et al. | 2013
- 88800J
-
450mm wafer patterning with jet and flash imprint lithographyThompson, Ecron / Hellebrekers, Paul / Hofemann, Paul / LaBrake, Dwayne L. / Resnick, Douglas J. / Sreenivasan, S. V. et al. | 2013
- 88800K
-
2013 mask industry surveyMalloy, Matt et al. | 2013
- 88800L
-
Computational mask defect review for contamination and haze inspectionsMorgan, Paul / Rost, Daniel / Price, Daniel / Corcoran, Noel / Satake, Masaki / Hu, Peter / Peng, Danping / Yonenaga, Dean / Tolani, Vikram / Wolf, Yulian et al. | 2013
- 88800M
-
Evaluation of dry technology for removal of pellicle adhesive residue on advanced optical reticlesParacha, Shazad / Bekka, Samy / Eynon, Benjamin / Choi, Jaehyuck / Balooch, Mehdi / Varghese, Ivin / Hopkins, Tyler et al. | 2013
- 88800N
-
Inline detection of Chrome degradation on binary 193nm photomasksDufaye, Félix / Sippel, Astrid / Wylie, Mark / García-Berríos, Edgardo / Crawford, Charles / Hess, Carl / Sartelli, Luca / Pogliani, Carlo / Miyashita, Hiroyuki / Gough, Stuart et al. | 2013
- 88800O
-
Comparison of CD measurements of an EUV photomask by EUV scatterometry and CD-AFMScholze, Frank / Soltwisch, Victor / Dai, Gaoliang / Henn, Mark-Alexander / Gross, Hermann et al. | 2013
- 88800P
-
Two-dimensional mask effects at the 14 nm logic nodeZweber, A. E. / McGuire, A. / Hibbs, M. / Nash, S. / Ballman, K. / Faure, T. / Rankin, J. / Isogawa, T. / Senna, T. / Negishi, Y. et al. | 2013
- 88800Q
-
Measurement of EUV absorber and resist CD using spectroscopic ellipsometerLee, Kyung M. / Tavassoli, Malahat / Yan, Pei-yang / Zhang, Guojing et al. | 2013
- 88800S
-
Reflecting on inspectability and wafer printability of multiple EUV mask absorbersSeki, Kazunori / Badger, Karen / Gallagher, Emily / McIntyre, Gregory / Konishi, Toshio / Kodera, Yutaka / Takahashi, Satoshi / Redding, Vincent et al. | 2013
- 88800T
-
The SEMATECH high-NA actinic reticle review project (SHARP) EUV mask-imaging microscopeGoldberg, Kenneth A. / Mochi, Iacopo / Benk, Markus P. / Lin, Chihcheng / Allezy, Arnaud P. / Dickinson, Michael / Cork, Carl W. / Macdougall, James B. / Anderson, Erik H. / Chao, Weilun et al. | 2013
- 88800U
-
EUV patterned mask inspection system using a projection electron microscope techniqueWatanabe, Hidehiro / Hirano, Ryoichi / Iida, Susumu / Amano, Tsuyoshi / Terasawa, Tsuneo / Hatakeyama, Masahiro / Murakami, Takeshi / Yoshikawa, Shoji / Terao, Kenji et al. | 2013
- 88800V
-
Defects on high-resolution negative-tone resist: "The revenge of the blobs"Sanchez, M. I. / Sundberg, L. K. / Bozano, L. D. / Sooriyakumaran, R. / Sanders, D. P. / Senna, T. / Tanabe, M. / Komizo, T. / Yoshida, I. / Zweber, A. E. et al. | 2013
- 88800W
-
Controlling the sidewall angle of advanced attenuated phase-shift photomasks for 14nm and 10nm lithographyWistrom, Richard / Sakamoto, Yoshifumi / Panton, Jeffery / Faure, Thomas / Isogawa, Takeshi / McGuire, Anne et al. | 2013
- 88800X
-
Model-based etch profile simulation of PSM filmsGrimbergen, Michael / Chandrachood, Madhavi / Tran, Jeffrey / Leung, Becky / Yu, Keven / Sabharwal, Amitabh / Kumar, Ajay et al. | 2013
- 88800Y
-
Implementable and systematic mitigation of native defects in EUV masksHsueh, Wen-Chang / Yeh, Li-Chih / Yao, Ming-Jiun / Lin, Yun-Yue / Chen, Jia-Jen / Lee, Shin-Chang / Yen, Anthony et al. | 2013
- 88800Z
-
Studying the effects of modified surface chemistry on chrome migration in binary photomasksKossow, Christopher / Kirlin, Peter / Green, Michael et al. | 2013
- 88801A
-
A novel design-based global CDU metrology for 1X nm node logic devicesYoon, Young-Keun / Chung, Dong H. / Kim, Min-Ho / Seo, Jung-Uk / Kim, Byung-Gook / Jeon, Chan-Uk / Hur, JiUk / Cho, Wonil / Yamamoto, Tetsuya et al. | 2013
- 88801B
-
EUV scatterometry-based measurement method for the determination of phase roughnessChao, Rikon / Gullikson, Eric / Goldstein, Michael / Goodwin, Frank / Teki, Ranganath / Neureuther, Andy / Naulleau, Patrick et al. | 2013
- 88801C
-
SEM image quality enhancement technology for bright field maskFukuda, Naoki / Chihara, Yuta / Shida, Soichi / Ito, Keisuke et al. | 2013
- 88801D
-
Direct phase-shift measurement of an EUV mask with gradient absorber thicknessTanabe, Hiroyoshi / Murachi, Tetsunori / Park, Seh-Jin / Gullikson, Eric M. / Abe, Tsukasa / Hayashi, Naoya et al. | 2013
- 88801E
-
Performance of the proof-of-concept multi-beam mask writer (MBMW POC)Klein, Christof / Loeschner, Hans / Platzgummer, Elmar et al. | 2013
- 88801F
-
Shot count reduction for non-Manhattan geometries: concurrent optimization of data fracture and mask writer designCinque, Russell / Komagata, Tadashi / Kiuchi, Taiichi / Browning, Clyde / Schiavone, Patrick / Petroni, Paolo / Martin, Luc / Quaglio, Thomas et al. | 2013
- 88801G
-
Turret-type electron gun for EBM-8000Miyamoto, Nobuo / Kendall, Rodney / Saito, Kenichi et al. | 2013
- 88801H
-
EUV multilayer defect compensation (MDC): latest progress on model and compensation methodsPang, Linyong / Satake, Masaki / Li, Ying / Hu, Peter / Peng, Danping / Chen, Dongxue / Tolani, Vikram et al. | 2013
- 88801I
-
Using segmented models for initial mask perturbation and OPC speedupHamouda, Ayman / Anis, Mohab / Karim, Karim S. et al. | 2013
- 88801J
-
Full chip implant correction with wafer topography OPC modeling in 2x nm bulk technologiesMichel, J-C. / Le Denmat, J-C. / Sungauer, E. / Robert, F. / Yesilada, E. / Armeanu, A-M. / Entradas, J. / Sturtevant, J. L. / Do, T. / Granik, Y. et al. | 2013
- 88801K
-
High-fidelity dummy fill printing with repair OPCLin, Louis / Wang, Wei-Long / McGowan, Sarah et al. | 2013
- 88801L
-
Phase preservation study on ArF mask for haze-free mask resist strip and cleaningShi, Irene / Guo, Eric / Tian, Eric / Gu, Tracy / Jiang, Forrest / Qian, Sandy / Matsushima, Daisuke / Pang, Jinyuan et al. | 2013
- 88801M
-
A new mask linearity specification for EUV masks based on time dependent dielectric breakdown requirementsStandiford, Keith / Bürgel, Christian et al. | 2013
- 88801N
-
A study on the ESD damage of a silicon oxy-nitride hard mask on the chromium surface of PSM blankMoon, Songbae / Kim, Heebom / Shin, Inkyun / Jeon, Chanuk et al. | 2013
- 88801O
-
In-die mask registration measurement on 28nm-node and beyondChen, Shen Hung / Cheng, Yung Feng / Chen, Ming Jui et al. | 2013
- 88801P
-
Alternative material to mitigate chrome degradation on high volume ArF layersNing, Guoxiang / Gopalakrishnan, Selvi / Thamm, Thomas / Oleynik, Nikolay / Ackmann, Paul / Riviere, Remi / Maelzer, Stephanie / Foong, Yee Mei et al. | 2013
- 88801Q
-
OPC modeling using AFM CD measurementKoo, Kyoil / Kim, Gyengseop / Kim, Sanghun / Yang, Seunghune / Lee, Sooryong / Kim, Youngchang / Choi, Jungdal / Kang, Hokyu et al. | 2013
- 88801R
-
Increased depth of field through wave-front coding: using an off-axis zone plate lens with cubic phase modulation in an EUV microscopeBenk, Markus P. / Goldberg, Kenneth A. / Mochi, Iacopo / Chao, Weilun / Anderson, Erik H. et al. | 2013
- 88801S
-
A study of the defect detection technology using the optic simulation for the semiconductor deviceYang, Yusin / Jeong, Yongdeok / Numata, Mitsunori / Park, Mira / Seo, Mingoo / Lee, SangKil / Jun, ChungSam / Lee, Kyupil / Cho, Insoo et al. | 2013
- 88801T
-
Mask contamination study in electron and ion beam repair systemAhn, Hyo-Jin / Kim, Jong-Min / Lee, Dong-Seok / Lee, Gyu-Yong / Lee, Dong-Heok / Choi, Sang-Soo et al. | 2013
- 88801U
-
Model-driven target optimization to resolve design hotspots through image quality enhancementLee, Sung-Woo / Cecil, Tom / Xiao, Guangming / Lee, Mindy / Choi, Jung-Hoe / Baek, Seung-Hee / Jeon, Jin-Hyuck / Park, Chan Ha / Kim, Dave / Lucas, Kevin et al. | 2013
- 88801V
-
Sensitivity analysis for OMOG and EUV photomasks characterized by UV-NIR spectroscopic ellipsometryHeinrich, A. / Dirnstorfer, I. / Bischoff, J. / Meiner, K. / Richter, U. / Mikolajick, T. et al. | 2013
- 88801W
-
Fleet matching performance for multiple registration measurement toolsBeyer, D. / Bläsing, C. / Boehm, K. / Heisig, S. / Seidel, D. et al. | 2013
- 88801X
-
The recovering method of etch chamber condition by using the optical emission spectroscopy monitoring systemRyu, Choong Han / Jun, Jae Young / Jung, Ho Yong / Kim, Sang Pyo / Yim, Dong Gyu et al. | 2013
- 88801Y
-
Analysis of EUV mask durability under various absorber etch conditionsLee, Dong Wook / Jo, Sang Jin / Oh, Sung Hyun / Ha, Tae Joong / Kim, Sang Pyo / Yim, Dong Gyu et al. | 2013
- 88802A
-
Analysis of edge effects in attenuating phase-shift masks using quantitative phase imagingShanker, Aamod / Sczyrba, Martin / Connolly, Brid / Neureuther, Andy / Waller, Laura et al. | 2013
- 88802B
-
Recovering effective amplitude and phase roughness of EUV masksClaus, Rene A. / Mochi, Iacopo / Benk, Markus P. / Goldberg, Kenneth A. / Neureuther, Andrew R. / Naulleau, Patrick P. et al. | 2013
- 88802D
-
AF printability check with a full-chip 3D resist profile modelWu, Cheng-En R. / Chang, Jason / Song, Hua / Shiely, James et al. | 2013
- 88802E
-
HSQ process development for a superior resolution and a reasonable sensitivity for an EB master-mold for nanoimprint lithographyKobayashi, Hideo / Iyama, Hiromasa / Kagatsume, Takeshi / Sato, Takashi / Kishimoto, Shuji / Watanabe, Tsuyoshi et al. | 2013
- 88802G
-
Advancement of fast EUV lithography modeling/simulations and applications on evaluating different repair options for EUV mask multilayer defectLi, Ying / Satake, Masaki / Peng, Danping / Hu, Peter / Pang, Linyong et al. | 2013
- 88802H
-
E-beam GIDC resolution enhancement technology in practical applicationsMartens, S. / Butschke, J. / Galler, R. / Krüger, M. / Sailer, H. / Sülzle, M. et al. | 2013
- 88802I
-
Extreme ultraviolet mask defect observation using an extreme ultraviolet microscopeAmano, Tsuyoshi / Terasawa, Tsuneo / Watanabe, Hidehiro / Toyoda, Mitsunori / Harada, Tetsuo / Watanabe, Takeo / Kinoshita, Hiroo et al. | 2013
- 88802J
-
Novel fracturing algorithm to reduce shot count for curvy shapeTao, Takuya / Takahashi, Nobuyasu / Hamaji, Masakazu et al. | 2013
- 88802L
-
Under-layer effects for block levels: are they under control?Shao, Dongbing / Zhang, Bidan / Banerjee, Shayak / Kry, Hong / De Silva, Anuja / Kwong, Ranee / Chung, Kisup / Lin, Yea-Sen / Leslie, Alan et al. | 2013
- 88802M
-
Patterning of EUVL binary etched multilayer maskTakai, Kosuke / Motokawa, Takeharu / Murano, Koji / Kamo, Takashi / Hayashi, Naoya et al. | 2013
- 88802N
-
Potential of mask production process for finer pattern fabricationYagawa, Keisuke / Ugajin, Kunihiro / Suenaga, Machiko / Kobayashi, Yoshihito / Motokawa, Takeharu / Hagihara, Kazuki / Saito, Masato / Itoh, Masamitsu et al. | 2013
- 888001
-
Front Matter: Volume 8880| 2013
- 888002
-
Delivering complexity at the frontier of electronicsMayberry, Michael C. et al. | 2013
- 888004
-
Line width roughness and its control on photomaskWu, Banqiu / Kumar, Ajay et al. | 2013
- 888005
-
Ultra-low roughness magneto-rheological finishing for EUV mask substratesDumas, Paul / Jenkins, Richard / McFee, Chuck / Kadaksham, Arun J. / Balachandran, Dave K. / Teki, Ranganath et al. | 2013
- 888008
-
Entering mask process correction era for EUV mask manufacturingBürgel, Christian / Standiford, Keith / Chua, Gek Soon et al. | 2013
- 888010
-
Investigation of EUVL reticle capping layer peeling under wet cleaningSingh, Sherjang / Dattilo, Davide / Dietze, Uwe / Kadaksham, Arun J. / Jang, Il-Yong / Goodwin, Frank et al. | 2013
- 888012
-
1D design style implications for mask making and CEBLSmayling, Michael C. et al. | 2013
- 888013
-
Charting CEBL's role in mainstream semiconductor lithographyLam, David K. et al. | 2013
- 888014
-
Impact of proximity model inaccuracy on patterning in electron beam lithographyChen, Cheng-Hung / Chien, Tsung-Chih / Liu, P. Y. / Wang, W. C. / Shin, J. J. / Lin, S. J. / Lin, Burn J. et al. | 2013
- 888015
-
2013 Photomask Japan panel discussion summary: Future mask patterning technologies in the next decade: searching for the best mix solutionNakayamada, Noriaki / Kagami, Ichiro et al. | 2013
- 888016
-
Mask automation: need a revolution in mask makers and equipment industryMoon, Seong-yong / Yu, Sang-yong / Noh, Young-hwa / Son, Ki-jung / Lee, Hyun-Joo / Cho, Han-Ku et al. | 2013
- 888017
-
DSA template mask determination and cut redistribution for advanced 1D gridded designXiao, Zigang / Du, Yuelin / Wong, Martin D.F. / Zhang, Hongbo et al. | 2013
- 888018
-
Finishing of EUV photomask substrates by CNC precessed bonnet polisherBeaucamp, Anthony T. H. / Namba, Yoshiharu / Charlton, Phillip / Freeman, Richard R. et al. | 2013
- 888019
-
Simulation and correction of resist charging due to fogging in electron-beam lithographyBabin, Sergey / Borisov, Sergey / Militsin, Vladimir / Patyukova, Elena et al. | 2013
- 888020
-
Metrology variability and its impact in process modelingFigueiro, Thiago / Saib, Mohamed / Choi, Kang-Hoon / Hohle, Christoph / Thornton, Martin J. / Vannufel, Cyril / Tortai, Jean-Hervé / Schiavone, Patrick et al. | 2013
- 888022
-
Pupil shaping and coherence control in an EUV mask-imaging microscopeMochi, Iacopo / Goldberg, Kenneth A. / Benk, Markus P. / Naulleau, Patrick P. et al. | 2013
- 888023
-
Efficient full-chip mask 3D model for off-axis illuminationZhang, Hongbo / Yan, Qiliang / Zhang, Lin / Croffie, Ebo / Brooker, Peter / Ren, Qian / Fan, Yongfa et al. | 2013
- 888024
-
In-die mask registration for multi-patterningLaske, F. / Kunitani, S. / Kamibayashi, T. / Yamana, M. / Fuse, A. / Wagner, M. / Roeth, K.-D. / Ferber, M. / Daneshpanah, M. / Czerkas, S. et al. | 2013
- 888025
-
Improving wafer level CD uniformity for logic applications utilizing mask level metrology and processCohen, Avi / Trautzsch, Thomas / Buttgereit, Ute / Graitzer, Erez / Hanuka, Ori et al. | 2013
- 888026
-
A fast convolution method using basis expansion for highly efficient intensity calculation in mask optimizationSun, Yaping / Zuo, Yehua / Zhang, Jinyu / Wang, Yan / Yu, Zhiping et al. | 2013
- 888027
-
Impact of an etched EUV mask black border on imaging: part IIDavydova, Natalia / de Kruif, Robert / Morimoto, Hiroaki / Sakata, Yo / Kotani, Jun / Fukugami, Norihito / Kondo, Shinpei / Imoto, Tomohiro / Connolly, Brid / van Gestel, Dries et al. | 2013
- 888028
-
Development of inspection system for EUV mask with novel projection electron microscopy (PEM)Hatakeyama, Masahiro / Murakami, Takeshi / Terao, Kenji / Watanabe, Kenji / Yoshikawa, Shoji / Amano, Tsuyoshi / Hirano, Ryoichi / Iida, Susumu / Terasawa, Tsuneo / Watanabe, Hidehiro et al. | 2013
- 888029
-
A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair conditionUzzel, Doug / Garetto, Anthony / Magnusson, Krister / Tabbone, Gilles et al. | 2013
-
Improve mask inspection capacity with Automatic Defect Classification (ADC) [8880-11]Wang, C. / Ho, S. / Guo, E. / Wang, K. / Lakkapragada, S. / Yu, J. / Hu, P. / Tolani, V. / Pang, L. / SPIE (Society) et al. | 2013
-
Two-dimensional mask effects at the 14 nm logic node [8880-24]Zweber, A.E. / McGuire, A. / Hibbs, M. / Nash, S. / Ballman, K. / Faure, T. / Rankin, J. / Isogawa, T. / Senna, T. / Negishi, Y. et al. | 2013
-
Studying the effects of modified surface chemistry on chrome migration in binary photomasks [8880-35]Kossow, C. / Kirlin, P. / Green, M. / SPIE (Society) et al. | 2013
-
Implementable and systematic mitigation of native defects in EUV masks [8880-34]Hsueh, W.-C. / Yeh, L.-C. / Yao, M.-J. / Lin, Y.-Y. / Chen, J.-J. / Lee, S.-C. / Yen, A. / SPIE (Society) et al. | 2013
-
Investigation of EUVL reticle capping layer peeling under wet cleaning [8880-36]Singh, S. / Dattilo, D. / Dietze, U. / Kadaksham, A.J. / Jang, I.-Y. / Goodwin, F. / SPIE (Society) et al. | 2013
-
Shot count reduction for non-Manhattan geometries: concurrent optimization of data fracture and mask writer design [8880-51]Cinque, R. / Komagata, T. / Kiuchi, T. / Browning, C. / Schiavone, P. / Petroni, P. / Martin, L. / Quaglio, T. / SPIE (Society) et al. | 2013
-
Turret-type electron gun for EBM-8000 [8880-52]Miyamoto, N. / Kendall, R. / Saito, K. / SPIE (Society) et al. | 2013
-
Model-driven target optimization to resolve design hotspots through image quality enhancement [8880-68]Lee, S.-W. / Cecil, T. / Xiao, G. / Lee, M. / Choi, J.-H. / Baek, S.-H. / Jeon, J.-H. / Park, C.H. / Kim, D. / Lucas, K. et al. | 2013
-
The recovering method of etch chamber condition by using the optical emission spectroscopy monitoring system [8880-72]Ryu, C.H. / Jun, J.Y. / Jung, H.Y. / Kim, S.P. / Yim, D.G. / SPIE (Society) et al. | 2013
-
Advancement of fast EUV lithography modeling/simulations and applications on evaluating different repair options for EUV mask multilayer defect [8880-97]Li, Y. / Satake, M. / Peng, D. / Hu, P. / Pang, L. / SPIE (Society) et al. | 2013
-
Increasing reticle inspection efficiency and reducing wafer print-checks using automated defect classification and simulation [8880-12]Ryu, S.J. / Lim, S.T. / Vacca, A. / Fiekowsky, P. / Fiekowsky, D. / SPIE (Society) et al. | 2013
-
Your worst nightmare: inspection of aggressive OPC on 14nm masks with emphasis on defect sensitivity and wafer defect print predictability [8880-13]Badger, K.D. / Hibbs, M. / Rankin, J. / Seki, K. / Stobert, I. / Dechene, D.J. / Bleiman, B. / Ghosal, M. / Broadbent, W. / Redding, V. et al. | 2013
-
Performance of the proof-of-concept multi-beam mask writer (MBMW POC) (Invited Paper) [8880-50]Klein, C. / Loeschner, H. / Platzgummer, E. / SPIE (Society) et al. | 2013
-
Using segmented models for initial mask perturbation and OPC speedup [8880-55]Hamouda, A. / Anis, M. / Karim, K.S. / SPIE (Society) et al. | 2013
-
Increased depth of field through wave-front coding: using an off-axis zone plate lens with cubic phase modulation in an EUV microscope [8880-63]Benk, M.P. / Goldberg, K.A. / Mochi, I. / Chao, W. / Anderson, E.H. / SPIE (Society) et al. | 2013
-
Analysis of EUV mask durability under various absorber etch conditions [8880-73]Lee, D.W. / Jo, S.J. / Oh, S.H. / Ha, T.J. / Kim, S.P. / Yim, D.G. / SPIE (Society) et al. | 2013
-
A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition [8880-85]Uzzel, D. / Garetto, A. / Magnusson, K. / Tabbone, G. / SPIE (Society) et al. | 2013
-
Analysis of edge effects in attenuating phase-shift masks using quantitative phase imaging [8880-86]Shanker, A. / Sczyrba, M. / Connolly, B. / Neureuther, A. / Waller, L. / SPIE (Society) et al. | 2013
-
Charting CEBL's role in mainstream semiconductor lithography [8880-39]Lam, D.K. / SPIE (Society) et al. | 2013
-
Mask automation: need a revolution in mask makers and equipment industry [8880-42]Moon, S. / Yu, S. / Noh, Y. / Son, K. / Lee, H.-J. / Cho, H.-K. / SPIE (Society) et al. | 2013
-
Simulation and correction of resist charging due to fogging in electron-beam lithography [8880-99]Babin, S. / Borisov, S. / Militsin, V. / Patyukova, E. / SPIE (Society) et al. | 2013
-
SEM image quality enhancement technology for bright field mask [8880-48]Fukuda, N. / Chihara, Y. / Shida, S. / Ito, K. / SPIE (Society) et al. | 2013
-
Improving wafer level CD uniformity for logic applications utilizing mask level metrology and process [8880-81]Cohen, A. / Trautzsch, T. / Buttgereit, U. / Graitzer, E. / Hanuka, O. / SPIE (Society) et al. | 2013
-
Development of inspection system for EUV mask with novel projection electron microscopy (PEM) [8880-84]Hatakeyama, M. / Murakami, T. / Terao, K. / Watanabe, K. / Yoshikawa, S. / Amano, T. / Hirano, R. / Iida, S. / Terasawa, T. / Watanabe, H. et al. | 2013
-
E-beam GIDC resolution enhancement technology in practical applications [8880-96]Martens, S. / Butschke, J. / Galler, R. / Kruger, M. / Sailer, H. / Sulzle, M. / SPIE (Society) et al. | 2013
-
Under-layer effects for block levels: are they under control? [8880-92]Shao, D. / Zhang, B. / Banerjee, S. / Kry, H. / De Silva, A. / Kwong, R. / Chung, K. / Lin, Y.-S. / Leslie, A. / SPIE (Society) et al. | 2013
-
Evaluation of dry technology for removal of pellicle adhesive residue on advanced optical reticles [8880-21]Paracha, S. / Bekka, S. / Eynon, B.G. / Choi, J. / Balooch, M. / Varghese, I. / Hopkins, T. / SPIE (Society) et al. | 2013
-
Measurement of EUV absorber and resist CD using spectroscopic ellipsometer [8880-25]Lee, K.M. / Tavassoli, M. / Yan, P. / Zhang, G. / SPIE (Society) et al. | 2013
-
Ultra-low roughness magneto-rheological finishing for EUV mask substrates [8880-4]Dumas, P. / Jenkins, R. / McFee, C. / Kadaksham, A.J. / Balachandran, D.K. / Teki, R. / SPIE (Society) et al. | 2013
-
EUV scatterometry-based measurement method for the determination of phase roughness [8880-47]Chao, R. / Gullikson, E. / Goldstein, M. / Goodwin, F. / Teki, R. / Neureuther, A. / Naulleau, P. / SPIE (Society) et al. | 2013
-
In-die mask registration measurement on 28nm-node and beyond [8880-60]Chen, S.H. / Cheng, Y.F. / Chen, M.J. / SPIE (Society) et al. | 2013
-
EUV patterned mask inspection system using a projection electron microscope technique [8880-30]Watanabe, H. / Hirano, R. / Iida, S. / Amano, T. / Terasawa, T. / Hatakeyama, M. / Murakami, T. / Yoshikawa, S. / Terao, K. / SPIE (Society) et al. | 2013
-
2013 Photomask Japan panel discussion summary: Future mask patterning technologies in the next decade: searching for the best mix solution (Invited Paper) [8880-41]Nakayamada, N. / Kagami, I. / SPIE (Society) et al. | 2013
-
Fleet matching performance for multiple registration measurement tools [8880-71]Beyer, D. / Blasing, C. / Boehm, K. / Heisig, S. / Seidel, D. / SPIE (Society) et al. | 2013
-
In-die mask registration for multi-patterning [8880-79]Laske, F. / Kunitani, S. / Kamibayashi, T. / Yamana, M. / Fuse, A. / Wagner, M. / Roeth, K.D. / Ferber, M. / Daneshpanah, M. / Czerkas, S. et al. | 2013
-
Impact of an etched EUV mask black border on imaging: part II [8880-83]Davydova, N. / de Kruif, R. / Morimoto, H. / Sakata, Y. / Kotani, J. / Fukugami, N. / Kondo, S. / Imoto, T. / Connolly, B. / van Gestel, D. et al. | 2013
-
Comparison of CD measurements of an EUV photomask by EUV scatterometry and CD-AFM [8880-23]Scholze, F. / Soltwisch, V. / Dai, G. / Henn, M.-A. / Gross, H. / SPIE (Society) et al. | 2013
-
Controlling the sidewall angle of advanced attenuated phase-shift photomasks for 14nm and 10nm lithography [8880-32]Wistrom, R. / Sakamoto, Y. / Panton, J. / Faure, T. / Isogawa, T. / McGuire, A. / SPIE (Society) et al. | 2013
-
Finishing of EUV photomask substrates by CNC precessed bonnet polisher [8880-45]Beaucamp, A.T.H. / Namba, Y. / Charlton, P. / Freeman, R.R. / SPIE (Society) et al. | 2013
-
High-fidelity dummy fill printing with repair OPC [8880-56]Lin, L. / Wang, W.-L. / McGowan, S. / SPIE (Society) et al. | 2013
-
A study on the ESD damage of a silicon oxy-nitride hard mask on the chromium surface of PSM blank [8880-59]Moon, S. / Kim, H. / Shin, J. / Jeon, C. / SPIE (Society) et al. | 2013
-
Phase preservation study on ArF mask for haze-free mask resist strip and cleaning [8880-57]Shi, I. / Guo, E. / Tian, E. / Gu, T. / Jiang, F. / Qian, S. / Matsushima, D. / Pang, J. / SPIE (Society) et al. | 2013
-
OPC modeling using AFM CD measurement [8880-62]Koo, K. / Kim, G. / Kim, S. / Yang, S. / Lee, S. / Kim, Y. / Choi, J. / Kang, H. / SPIE (Society) et al. | 2013
-
Sensitivity analysis for OMOG and EUV photomasks characterized by UV-NIR spectroscopic ellipsometry [8880-70]Heinrich, A. / Dirnstorfer, I. / Bischoff, J. / Meiner, K. / Richter, U. / Mikolajick, T. / SPIE (Society) et al. | 2013
-
Efficient full-chip mask 3D model for off-axis illumination [8880-78]Zhang, H. / Yan, Q. / Zhang, L. / Croffie, E. / Brooker, P. / Ren, Q. / Fan, Y. / SPIE (Society) et al. | 2013
-
A fast convolution method using basis expansion for highly efficient intensity calculation in mask optimization [8880-82]Sun, Y. / Zuo, Y. / Zhang, J. / Wang, Y. / Yu, Z. / SPIE (Society) et al. | 2013
-
Patterning of EUVL binary etched multilayer mask [8880-102]Takai, K. / Motokawa, T. / Murano, K. / Kamo, T. / Hayashi, N. / SPIE (Society) et al. | 2013
-
Performance of an automatic algorithm for quantifying critical dimensions in actinic aerial images [8880-10]Uzzel, D. / Ma, M. / Hedges, S.E. / Munir, S. / SPIE (Society) et al. | 2013
-
450mm wafer patterning with jet and flash imprint lithography [8880-19]Thompson, E. / Hellebrekers, P. / Hofemann, P. / LaBrake, D.L. / Resnick, D.J. / Sreenivasan, S.V. / SPIE (Society) et al. | 2013
-
Model-based etch profile simulation of PSM films [8880-33]Grimbergen, M. / Chandrachood, M. / Tran, J. / Leung, B. / Yu, K. / Sabharwal, A. / Kumar, A. / SPIE (Society) et al. | 2013
-
DSA template mask determination and cut redistribution for advanced 1D gridded design [8880-44]Xiao, Z. / Du, Y. / Wong, M.D.F. / Zhang, H. / SPIE (Society) et al. | 2013
-
Direct phase-shift measurement of an EUV mask with gradient absorber thickness [8880-49]Tanabe, H. / Murachi, T. / Park, S.-J. / Gullikson, E.M. / Abe, T. / Hayashi, N. / SPIE (Society) et al. | 2013
-
A new mask linearity specification for EUV masks based on time dependent dielectric breakdown requirements [8880-58]Standiford, K. / Burgel, C. / SPIE (Society) et al. | 2013
-
Line width roughness and its control on photomask [8880-3]Wu, B. / Kumar, A. / SPIE (Society) et al. | 2013
-
Entering mask process correction era for EUV mask manufacturing (Invited Paper) [8880-7]Burgel, C. / Standiford, K. / Chua, G.S. / SPIE (Society) et al. | 2013
-
Computational mask defect review for contamination and haze inspections [8880-20]Morgan, P. / Rost, D. / Price, D. / Corcoran, N. / Satake, M. / Hu, P. / Peng, D. / Yonenaga, D. / Tolani, V. / Wolf, Y. et al. | 2013
-
1D design style implications for mask making and CEBL [8880-38]Smayling, M.C. / SPIE (Society) et al. | 2013
-
Alternative material to mitigate chrome degradation on high volume ArF layers [8880-61]Ning, G. / Gopalakrishnan, S. / Thamm, T. / Oleynik, N. / Ackmann, P. / Riviere, R. / Maelzer, S. / Foong, Y.M. / SPIE (Society) et al. | 2013
-
Metrology variability and its impact in process modeling [8880-75]Figueiro, T. / Saib, M. / Choi, K.-H. / Hohle, C. / Thornton, M.J. / Vannufel, C. / Tortai, J.-H. / Schiavone, P. / SPIE (Society) et al. | 2013
-
Pupil shaping and coherence control in an EUV mask-imaging microscope [8880-77]Mochi, I. / Goldberg, K.A. / Benk, M.P. / Naulleau, P.P. / SPIE (Society) et al. | 2013
-
Recovering effective amplitude and phase roughness of EUV masks [8880-87]Claus, R.A. / Mochi, I. / Benk, M.P. / Goldberg, K.A. / Neureuther, A.R. / Naulleau, P.P. / SPIE (Society) et al. | 2013
-
Extreme ultraviolet mask defect observation using an extreme ultraviolet microscope [8880-95]Amano, T. / Terasawa, T. / Watanabe, H. / Toyoda, M. / Harada, T. / Watanabe, T. / Kinoshita, H. / SPIE (Society) et al. | 2013
-
Automated Defect Classification (ADC) and Progression Monitoring (DPM) in wafer fab reticle requalification [8880-9]Yen, T.H. / Lai, R. / Tuo, L.C. / Tolani, V. / Chen, D. / Hu, P. / Yu, J. / Hwa, G. / Zheng, Y. / Lakkapragada, S. et al. | 2013
-
The impact of 14nm photomask variability and uncertainty on computational lithography solutions (Invited Paper) [8880-14]Sturtevant, J. / Tejnil, E. / Buck, P. / Schulze, S. / Kalk, F. / Nakagawa, K. / Ning, G. / Ackmann, P. / Gans, F. / Buergel, C. et al. | 2013
-
Color balancing for triple patterning lithography with complex designs [8880-17]Tian, H. / Zhang, H. / Wong, M.D.F. / SPIE (Society) et al. | 2013
-
Impact of proximity model inaccuracy on patterning in electron beam lithography [8880-40]Chen, C.-H. / Chien, T.-C. / Liu, P.Y. / Wang, W.C. / Shin, J.J. / Lin, S.J. / Lin, B.J. / SPIE (Society) et al. | 2013
-
AF printability check with a full-chip 3D resist profile model [8880-89]Wu, C.-E.R. / Chang, J. / Song, H. / Shiely, J. / SPIE (Society) et al. | 2013
-
A study of the defect detection technology using the optic simulation for the semiconductor device [8880-64]Yang, Y. / Jeong, Y. / Numata, M. / Park, M. / Seo, M. / Lee, S. / Jun, C. / Lee, K. / Cho, I. / SPIE (Society) et al. | 2013
-
Mask contamination study in electron and ion beam repair system [8880-67]Ahn, H.-J. / Kim, J.-M. / Lee, D.-S. / Lee, G.-Y. / Lee, D.-H. / Choi, S.-S. / SPIE (Society) et al. | 2013
-
HSQ process development for a superior resolution and a reasonable sensitivity for an EB master-mold for nanoimprint lithography [8880-90]Kobayashi, H. / Iyama, H. / Kagatsume, T. / Sato, T. / Kishimoto, S. / Watanabe, T. / SPIE (Society) et al. | 2013
-
Potential of mask production process for finer pattern fabrication [8880-101]Yagawa, K. / Ugajin, K. / Suenaga, M. / Kobayashi, Y. / Motokawa, T. / Hagihara, K. / Saito, M. / Itoh, M. / SPIE (Society) et al. | 2013
-
Simulation study of CD variation caused by field edge effects and out-of-band radiation in EUVL [8880-16]Gao, W. / Niroomand, A. / Lorusso, G.F. / Boone, R. / Lucas, K. / Demmerle, W. / SPIE (Society) et al. | 2013
-
Reflecting on inspectability and wafer printability of multiple EUV mask absorbers [8880-27]Seki, K. / Badger, K. / Gallagher, E. / McIntyre, G. / Konishi, T. / Kodera, Y. / Takahashi, S. / Redding, V. / SPIE (Society) et al. | 2013
-
The SEMATECH high-NA actinic reticle review project (SHARP) EUV mask-imaging microscope [8880-28]Goldberg, K.A. / Mochi, I. / Benk, M.P. / Lin, C. / Allezy, A. / Dickinson, M. / Cork, C.W. / Macdougall, J.B. / Anderson, E.H. / Chao, W. et al. | 2013
-
Defects on high-resolution negative-tone resist: ``The revenge of the blobs'' (Invited Paper) [8880-31]Sanchez, M.I. / Sundberg, L.K. / Bozano, L.D. / Sooriyakumaran, R. / Sanders, D.P. / Senna, T. / Tanabe, M. / Komizo, T. / Yoshida, I. / Zweber, A.E. et al. | 2013
-
Full chip implant correction with wafer topography OPC modeling in 2x nm bulk technologies [8880-80]Michel, J.-C. / Le Denmat, J.-C. / Sungauer, E. / Robert, F. / Yesilada, E. / Armeanu, A.-M. / Entradas, J. / Sturtevant, J.L. / Do, T. / Granik, Y. et al. | 2013
-
An accurate ILT-enabling full-chip mask 3D model for all-angle patterns [8880-15]Zhang, H. / Yan, Q. / Croffie, E. / Zhang, L. / Fan, Y. / SPIE (Society) et al. | 2013
-
2013 mask industry survey (Invited Paper) [8880-100]Malloy, M. / SPIE (Society) et al. | 2013
-
Inline detection of Chrome degradation on binary 193nm photomasks [8880-22]Dufaye, F. / Sippel, A. / Wylie, M. / Garcia-Berrios, E. / Crawford, C. / Hess, C. / Sartelli, L. / Pogliani, C. / Miyashita, H. / Gough, S. et al. | 2013
-
A novel design-based global CDU metrology for 1X nm node logic devices [8880-46]Yoon, Y.-K. / Chung, D.H. / Kim, M.-H. / Seo, J.-U. / Kim, B.-G. / Jeon, C.-U. / Hur, J.U. / Cho, W. / Yamamoto, T. / SPIE (Society) et al. | 2013
-
EUV multilayer defect compensation (MDC): latest progress on model and compensation methods [8880-53]Pang, L. / Satake, M. / Li, Y. / Hu, P. / Peng, D. / Chen, D. / Tolani, V. / SPIE (Society) et al. | 2013
-
Novel fracturing algorithm to reduce shot count for curvy shape [8880-94]Tao, T. / Takahashi, N. / Hamaji, M. / SPIE (Society) et al. | 2013