3D analysis of high-aspect ratio features in 3D-NAND (Englisch)
- Neue Suche nach: Neumann, Jens Timo
- Neue Suche nach: Klochkov, Dmitry
- Neue Suche nach: Korb, Thomas
- Neue Suche nach: Gupta, Sheetal
- Neue Suche nach: Avishai, Amir
- Neue Suche nach: Pichumani, Ramani
- Neue Suche nach: Lee, Keumsil
- Neue Suche nach: Buxbaum, Alex
- Neue Suche nach: Foca, Eugen
- Neue Suche nach: Neumann, Jens Timo
- Neue Suche nach: Klochkov, Dmitry
- Neue Suche nach: Korb, Thomas
- Neue Suche nach: Gupta, Sheetal
- Neue Suche nach: Avishai, Amir
- Neue Suche nach: Pichumani, Ramani
- Neue Suche nach: Lee, Keumsil
- Neue Suche nach: Buxbaum, Alex
- Neue Suche nach: Foca, Eugen
In:
Metrology, Inspection, and Process Control for Microlithography XXXIV
;
113250M-113250M-11
;
2020
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Print
-
Titel:3D analysis of high-aspect ratio features in 3D-NAND
-
Beteiligte:Neumann, Jens Timo ( Autor:in ) / Klochkov, Dmitry ( Autor:in ) / Korb, Thomas ( Autor:in ) / Gupta, Sheetal ( Autor:in ) / Avishai, Amir ( Autor:in ) / Pichumani, Ramani ( Autor:in ) / Lee, Keumsil ( Autor:in ) / Buxbaum, Alex ( Autor:in ) / Foca, Eugen ( Autor:in )
-
Kongress:Metrology, Inspection, and Process Control for Microlithography XXXIV
-
Erschienen in:Metrology, Inspection, and Process Control for Microlithography XXXIV ; 113250M-113250M-11Proceedings of SPIE, the International Society for Optical Engineering ; 11325 ; 113250M-113250M-11
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:01.01.2020
-
Format / Umfang:113250M-113250M-11
-
ISBN:
-
ISSN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 113250A
-
Atom probe tomography using extreme-ultraviolet lightMiaja-Avila, Luis / Chiaramonti, Ann N. / Caplins, Benjamin W. / Diercks, David R. / Gorman, Brian P. / Sanford, Norman A. et al. | 2020
- 113250B
-
VIA dishing metrology for novel 3D NAND using neural network assisted white light interferometryWang, Sicong / Ding, Xiaoye / Zhou, Yi / Ma, Yanzhong / Yang, Le / Chen, Chi / Chen, Lu et al. | 2020
- 113250C
-
High resolution acoustic metrology by combining high GHZ frequency ultrasound and scanning probe microscopyvan Es, Maarten H. / Quesson, Benoit A. J. / Mohtashami, Abbas / Piras, Daniele / Hatakeyama, Kodai / Fillinger, Laurent / van Neer, Paul L.M.J. et al. | 2020
- 113250D
-
Inline Part Average Testing (I-PAT) for automotive die reliabilityRobinson, John C. / Sherman, Kara / Price, David W. / Rathert, Jay et al. | 2020
- 113250F
-
Multi-beam Inspection (MBI) development progress and applicationsMa, Eric / Ren, Weiming / Luo, Xinan / Zhao, Shuo / Hu, Xuerang / Liu, Xuedong / Kuan, Chiyan / Chou, Kevin / Maassen, Martijn / Yin, Weihua et al. | 2020
- 113250G
-
Stochastic model prediction of pattern-failureJin, Sophie (Hyejin) / Sturtevant, John / Shang, Shumay / Yin, Lianghong / Ahi, Kevin et al. | 2020
- 113250I
-
Novel post-lithography macro inspection strategies for advanced legacy fab challengesBordogna, A. / Seminato, S. / Corno, A. / Beccalli, A. / Motta, L. / Pistone, G. / Ferrario, F. / Piacentini, P. / Micali, B. / Sharma, P. et al. | 2020
- 113250J
-
Massive metrology of 2D logic patterns on BEOL EUVLDas, Sayantan / Kang, Seulki / Halder, Sandip / Maruyama, Kotaro / Leray, Philippe / Yamazaki, Yuichiro et al. | 2020
- 113250L
-
3D-NAND wafer process monitoring using high voltage SEM with auto e-beam tilt technologyTu, Leeming / Mi, Jian / Fan, Henry / Zhou, Haydn / Xiong, Felix / Tu, Louis / Chen, Gangyi / Shao, Chuanyu / Zhang, Long / Kubo, Shinji et al. | 2020
- 113250M
-
3D analysis of high-aspect ratio features in 3D-NANDNeumann, Jens Timo / Klochkov, Dmitry / Korb, Thomas / Gupta, Sheetal / Avishai, Amir / Pichumani, Ramani / Lee, Keumsil / Buxbaum, Alex / Foca, Eugen et al. | 2020
- 113250N
-
Accuracy improvement of 3D-profiling for HAR features using deep learningSun, Wei / Zhao, Pushe / Goto, Yasunori / Yamamoto, Takuma / Ninomiya, Taku et al. | 2020
- 113250O
-
Machine learning and hybrid metrology using HV-SEM and optical methods to monitor channel hole tilting in-line for 3D NAND wafer productionMeng, Michael / Tu, Leeming / Mi, Jian / Zhou, Haydn / Zou, Xi et al. | 2020
- 113250P
-
Comparing edge detection algorithms: their impact on unbiased roughness measurement precision and accuracyMack, Chris A. et al. | 2020
- 113250Q
-
Comparison of SEM and AFM performances for LER reference metrologyKizu, Ryosuke / Misumi, Ichiko / Hirai, Akiko / Gonda, Satoshi et al. | 2020
- 113250R
-
Noise fidelity in SEM simulationBunday, Benjamin D. et al. | 2020
- 113250T
-
Line top loss and line top roughness characterizations of EUV resistsSchmidt, D. / Petrillo, K. / Breton, M. / Fullam, J. / Hand, S. / Osborne, J. / Wang, W. / Fey, D. et al. | 2020
- 113250V
-
White-light Mueller-matrix Fourier scatterometry for the characterization of nanostructures with large parameter spacesGödecke, M. L. / Frenner, K. / Osten, W. et al. | 2020
- 113250W
-
X-ray metrology of nanowire/ nanosheet FETs for advanced technology nodesKorde, Madhulika / Kline, R. Joseph / Sunday, Daniel F. / Keller, Nick / Kal, Subhadeep / Alix, Cheryl / Mosden, Aelan / Diebold, Alain C. et al. | 2020
- 113250X
-
Nanoscale grating characterization through EUV spectroscopy aided by machine learning techniquesBahrenberg, Lukas / Glabisch, Sven / Danylyuk, Serhiy / Ghafoori, Moein / Schröder, Sophia / Brose, Sascha / Stollenwerk, Jochen / Loosen, Peter et al. | 2020
- 113250Z
-
Understanding the influence of 3D sidewall roughness on observed line-edge roughness in scanning electron microscopy imagesvan Kessel, L. / Huisman, T. / Hagen, C. W. et al. | 2020
- 113251D
-
Sensitivity analysis for the detection of pitchwalk in self-aligned quadruple patterning by GISAXSCasfor Zapata, Maren / Farchmin, Nando / Pflüger, Mika / Nikolaev, Konstantin / Soltwisch, Victor / Heidenreich, Sebastian / Laubis, Christian / Kolbe, Michael / Scholze, Frank et al. | 2020
- 113251E
-
Contrasting conventional and machine learning approaches to optical critical dimension measurementsBarnes, Bryan M. / Henn, Mark-Alexander et al. | 2020
- 113251F
-
Contact etch process control application for advanced NAND memory structuresKris, R. / Klebanov, G. / Friedler, I. / Frishman, E. / Duvdevani Bar, S. / Geva, J. / Mirovoy, V. / Teomim, N. / Rathore, D. / Rogers, D. et al. | 2020
- 113251H
-
Advanced machine learning eco-system to address HVM optical metrology requirementsTimoney, Padraig / Luthra, Roma / Elia, Alex / Liu, Haibo / Isbester, Paul / Levy, Avi / Shifrin, Michael / Bringoltz, Barak / Rabinovich, Eylon / Broitman, Ariel et al. | 2020
- 113251J
-
Taking the multi-wavelength DBO to the next level of accuracy and robustnessKim, Jinsun / Lee, Jeongijn / Hwang, Chan / Lee, Seung Yoon / Jung, Wooyoung / Park, Joonsoo / Bhattacharyya, Kaustuve / den Boef, Arie / Mathijssen, Simon / Noot, Marc et al. | 2020
- 113251K
-
Run to run and model variability of overlay high order process corrections for mean intrafield signaturesDuclaux, Benjamin / Gatefait, Maxime / Mermet, Olivier / Chapon, Jean-Damien et al. | 2020
- 113251L
-
Stitched overlay evaluation and improvement for large field applicationsMay, Michael / Minghetti, Blandine / Dépré, Jérome / Blancquaert, Yoann / Lam, Pui / Lapeyre, Céline / Lee, Joungchel et al. | 2020
- 113251M
-
The application of a Rapid Probe Microscope (RPM) for investigating 1D and 2D structures from EUV lithographyHumphris, Andrew D. L. / Moussa, Alain / Dusa, Mircea / Charley, Anne-Laure / Newham, Elis / Goulden, Jenny / Feng, Lei / Bevis, Christopher et al. | 2020
- 113251O
-
Process context based wafer level grouping control: an advanced overlay process correction designed for DRAM 1z nm node in high volume manufacturingZhang, Linmiao / Susanto, William / Takahashi, Katsumasa / Chen, Albert / Tang, Tim / Zou, Yi / Lin, Chenxi / Hastings, Simon / Ur Rehman, Samee / Rijpstra, Manouk et al. | 2020
- 113251P
-
On product overlay metrology challenges in advanced nodesShchegrov, Andrei / Leray, Philippe / Paskover, Yuri / Yerushalmi, Liran / Megged, Efi / Grauer, Yoav / Gronheid, Roel et al. | 2020
- 113251Q
-
High-accuracy, high-speed, and smart metrology in the EUV eraWang, Zhigang / Sakai, Kei / Ebizuka, Yasushi / Shirai, Masumi / Suzuki, Makoto et al. | 2020
- 113251T
-
EUV photoresist reference metrology using TEM tomographyBiedrzycki, Mark / Adiga, Umesh / Barnum, Andrew / Mousa, Alain / Arjavac, Jason / Haynes, Rose Marie / Charley, Anne-Laure / Leray, Phillipe / Batuk, Dmitry et al. | 2020
- 113251U
-
Novel on-product focus metrology for EUV enabling direct focus monitoring and control for EUV systemsYim, Inbeom / Dakeshi, Koshiba / Hwang, Chan / Lee, Seung Yoon / Lee, Jeongjin / Park, Joonsoo / Yueh, Jenny / Ghavami, Ali / Segers, Bart / Garcia Granda, Miguel et al. | 2020
- 113251V
-
Using e-Beam inspection and overlay as tool for identifying process weaknesses in semiconductor processingOwusu-Boahen, Kwame / Patil, Suraj / Vijayakumar, Arun / Pate, Alex / Han, Carl / Schwitzgebel, Jorg / Kim, Chulwoo / Moreau, David J. et al. | 2020
- 113251W
-
Mitigating gain, effort and cost for EOW overlay controlMermet, Olivier / Gatefait, Maxime / Dabernat, Didier / Dettoni, Florent / Duclaux, Benjamin / Le-Gratiet, Bertrand et al. | 2020
- 113251X
-
Optical imaging metrology calibration using high voltage scanning electron microscope at after-development inspection for advanced processesGutman, N. / Tarshish, I. / Gronheid, R. / Dror, C. / Michelsson, D. / Backhauss, H. / Levin, L. / Levinski, V. / Paskover, Y. / Yerushalmi, L. et al. | 2020
- 113251Y
-
High-order field distortion correction using standalone alignment technology with modeling and sampling optimizationYahiro, Takehisa / Makino, Katsushi / Saito, Haruki / Tottewitz, Steven / Habets, Boris / Lomtscher, Patrick / Hanaue, Jiro et al. | 2020
- 113251Z
-
Optical overlay measurement accuracy improvement with machine learningVerner, Alexander / Kim, Hyunsok / Jeong, Ikhyun / Koo, Seungwoo / Lee, Dongjin / Lee, Honggoo / Ophir, Boaz / Bachar, Ohad / Yerushalmi, Liran / Jeon, Sanghuck et al. | 2020
- 113252A
-
Contour extraction algorithm for edge placement error measurement using machine learningOkamoto, Yosuke / Nakazawa, Shinichi / Kawamura, Akinori / Haga, Tsugihiko / Mori, Taihei / Maruyama, Kotaro / Kang, Seul-Ki / Yamazaki, Yuichiro et al. | 2020
- 113252B
-
Color filter and numeric aperture selections for image based overlay measurement in critical recording head manufacturing processMathias, Gavin / Liu, Yi / Schuster, Richard / Bowser, Aaron et al. | 2020
- 113252C
-
Machine learning for Tool Induced Shift (TIS) reductionKatz, Shlomit / Ophir, Boaz / Shusterman, Udi / Golotsvan, Anna / Yerushalmi, Liran / Megged, Efi / Grauer, Yoav / Zhang, Jian / Shih, Alimei / Wei, Shi-Ming et al. | 2020
- 113252E
-
OPO residuals reduction with imaging metrology color per layer modeKatz, Shlomit / Lee, Honggoo / Lee, Dongyoung / Kim, Jinsoo / Woo, Jaesun / Kang, Chunsoo / Park, Chanha / Lee, Dohwa / Lee, Seongjae / Jeon, Sanghuck et al. | 2020
- 113252F
-
A trainable die-to-database for fast e-Beam inspection: learning normal images to detect defectsOuchi, Masanori / Ishikawa, Masayoshi / Shinoda, Shinichi / Toyoda, Yasutaka / Yumiba, Ryo / Shindo, Hiroyuki / Izawa, Masayuki et al. | 2020
- 113252G
-
A strengthen mask r-CNN method for PFA image measurementWu, Tung-Yu / Liao, Chun Yen / Lin, Chun-Hung / Tsai, Kao-Tsai / Wu, Jun-Sheng / Huang, Chao-Yi et al. | 2020
- 113252H
-
A novel high throughput probe microscope: for measuring 3D structures, designed for in-line, integrated or standalone operationHumphris, A.D. L. / Feng, L. / Tedaldi, M. / Mudarikwa, L. / Ockwell, D. / Goulden, J. et al. | 2020
- 113252J
-
OPO residuals improvement with imaging metrology for 3D NANDKatz, Shlomit / Golotsvan, Anna / Grauer, Yoav / Megged, Efi / Gray, Greg / Leung, Fiona (Shuk Fan) / Ong, Pek Beng / Lei, Shi / Wei, Jeremy (Shi-Ming) / Zhou, Wayne (Wei) et al. | 2020
- 113252K
-
Photosensitive organic insulator photo-cell monitoring through advanced macro inspectionCorno, A. / Bordogna, A. / Braga, M. / Pescalli, A. / Ferrario, F. / Iessi, U. / Canestrari, P. / Sharma, P. / Salamone, M. / Parisi, P. et al. | 2020
- 113252L
-
Enhancing the applications space of diffraction based overlay metrology by increasing throughput and target pitch flexibilityMathijssen, Simon / Heijmerikx, Herman / Farhadzadeh, Farzad / Noot, Marc / van der Sneppen, Lineke / Shen, Longfei / Jia, Fei / Xu, Jolly / Qin, Huajun / den Boef, Arie et al. | 2020
- 113252M
-
Wavelength influence on the determination of subwavelength grating parameters by using optical scatterometrySiaudinyte, Lauryna / Pereira, Silvania F. et al. | 2020
- 113252N
-
Nano-scale molecular analysis of positive tone photo-resist films with varying doseEller, Michael J. / Li, Mingqi / Hou, Xisen / Verkhoturov, Stanislav V. / Schweikert, Emile A. / Trefonas, Peter et al. | 2020
- 113252R
-
Improved device overlay by litho aberration tracking with novel target design for DRAMLiu, Xiaolei / Hajaj, Eitan / Volfman, Alon / Spielberg, Hedvi / Grauer, Yoav / Yohanan, Raviv / Gao, Xindong et al. | 2020
- 113252S
-
OPO reduction by novel target designLiu, Zephyr / Hajaj, Eitan / Naot, Ira / Yohanan, Raviv / Grauer, Yoav et al. | 2020
- 113252U
-
New improving metrology for advanced memory devices with high transmission attenuated phase shift maskChen, Chia-Hung / Tsao, Sheng-Tsung / Fan, CongCong / Du, Jie / Yang, Richer / Chou, Asei / Chen, Kunyuan / Chang, Jimmy / Zhang, JunJun / He, Wallace et al. | 2020
- 113252V
-
Saving scribe-lane space by using narrow alignment marksChen, Chia-Hung / Tsao, Sheng-Tsung / Fan, CongCong / Du, Jie / Yang, Richer / Chou, Asei et al. | 2020
- 113252W
-
High speed roughness measurement on blank silicon wafers using wave front phase imagingTrujillo-Sevilla, J. M. / Rodríguez-Ramos, J. M. / Gaudestad, J. et al. | 2020
- 113252X
-
Improving after-etch overlay performance using high-density in-device metrology in DRAM manufacturingJeong, Ik-Hyun / Koo, Seung-Woo / Kim, Hyun-Sok / Hwang, Jung-Il / Lee, Dong-Jin / Kim, Min-Shik / Ju, Jae-Wuk / Lee, Kang-Min / Kim, Young-Sik / Lambregts, Cees et al. | 2020
- 113252Z
-
The improvement of measurement accuracy of SADP pitch walking issueLiu, Pei / Wu, Cheng-Zhang / Chao, Hung-Wen / Zhou, Wenzhan / Zhang, Yu / Hu, Chan-Yuan / Xu, Yujie / Li, Jhen-Cyuan / Chiu, Yen-Chan / Liu, Chun-Han et al. | 2020
- 1132501
-
Front Matter: Volume 11325| 2020
- 1132502
-
Metrology for advanced transistor and memristor devices and materialsDiebold, Alain C. / Cady, Nathaniel C. et al. | 2020
- 1132505
-
Contour based metrology: “make measurable what is not so"Le-Gratiet, Bertrand / Bouyssou, Régis / Ducoté, Julien / Ostrovsky, Alain / Beylier, Charlotte / Gardin, Christian / Schuch, Nivea / Annezo, Vincent / Schneider, Loïc / Millequant, Matthieu et al. | 2020
- 1132506
-
Understanding advanced DRAM edge placement error budget and opportunities for controlJeong, Jaeseung / Lee, Jinho / Kim, Jinsun / Yea, Sunyoung / Hwang, Chan / Lee, Seung Yoon / Lee, Jeongjin / Park, Joonsoo / Nikolsky, Peter / Park, Daniel et al. | 2020
- 1132507
-
Real-time full-wafer design-based inter-layer virtual metrologyYin, Lianghong / Sturtevant, John / Lopez Gomez, Alberto / Shang, Shumay / Kim, Young Chang / Adam, Kostas / Chew, Marko / Nath, Abhinandan / Habets, Boris / Gutsch, Manuela et al. | 2020
- 1132512
-
Three-dimensional feature characterization by inline Xe plasma FIB: delayering and deep milling implementationNiedermeier, Franz / Fros, Agnes / Böckl, Max / Kipferl, Wolfgang / Braun, Michaela / Birner, Albert / Pearl, Haim / Schubert, Thomas / Lee, Allen et al. | 2020
- 1132514
-
AFM characterization for Gate-All-Around (GAA) devicesBreton, Mary A. / Fullam, Jennifer / Yu, Lan / Kong, Dexin / Schmidt, Daniel / Greene, Andrew / Jiang, Liying / Hand, Sean / Osborne, Jason et al. | 2020
- 1132515
-
Accelerating on-device overlay metrology accuracy verificationAbramovitz, Yaniv / Sarig, Lior / Levin, Guy / Levi, Shimon / Davidescu, Ron / Harel, Daniel / Adan, Ofer / Leray, Philippe / Halder, Sandip et al. | 2020
- 1132517
-
A hybrid total measurement uncertainty methodology for dual beam FIB/SEM metrologyZandiatashbar, Ardavan / Chien, Chester et al. | 2020
- 1132518
-
EUV scatterometer with multiple orders of high-harmonic generationKu, Y. S. / Wang, W. T. / Chen, Y. C. / Chang, M. C. / Yeh, C. L. / Lo, C. W. et al. | 2020
- 1132520
-
Statistical local CD uniformity with novel SEM noise reduction methodKobayashi, Shinji et al. | 2020
- 1132521
-
On-product focus monitoring and control for immersion lithography in 3D-NAND manufacturingLakcher, Amine / Zayed, Ahmed / Shumway, Jennifer / van Delft, Jan-Pieter / Isai, Gratiela / Mustata, Ruxandra / van den Brink, Arno / Kim, Taeddy / Jung, Jay / Shin, Yong-Sik et al. | 2020
- 1132522
-
A novel accurate and robust technique in after-etch overlay metrology of 3D-NAND’s memory holesFeng, Yaobin / Wu, Dean / Xuan, Pandeng / Izikson, Pavel / Qi, Payne / You, Huanian / Chai, Yvon / Venselaar, Jan Jitse / Bottegal, Giulio / Sanguinetti, Gonzalo et al. | 2020
- 1132523
-
Advanced process control loop for SAQP pitch walk with combined lithography, deposition and etch actuatorsRen, Huan / Mani, Antonio / Han, Sixiao / Li, Xin / Chen, Xuemei / Van Den Heuvel, Dieter et al. | 2020
- 1132524
-
Realizing more accurate OPC models by utilizing SEM contoursWei, Chih-I / Sejpal, Rajiv / Deng, Yunfei / Kusnadi, Ir / Fenger, Germain / Oya, Masahiro / Okamoto, Yosuke / Maruyama, Kotaro / Yamazaki, Yuichiro / Das, Sayantan et al. | 2020
- 1132525
-
EB metrology of Ge channel gate-all-around FET: buckling evaluation and EB damage assessmentOhashi, Takeyoshi / Hasumi, Kazuhisa / Ikota, Masami / Lorusso, Gian / Witters, Liesbeth / Horiguchi, Naoto et al. | 2020
- 1132526
-
Automated semiconductor wafer defect classification dealing with imbalanced dataLee, Po-Hsuan / Wang, Zhe / Teh, Cho / Hsiao, Yi-Sing / Fang, Wei et al. | 2020
- 1132527
-
SEM image quality enhancement: an unsupervised deep learning approachYu, Liangjiang / Zhou, Wentian / Pu, Lingling / Fang, Wei et al. | 2020
- 1132528
-
Massive metrology for process development and monitoring applicationsSah, Kaushik / Das, Sayantan / Li, Shifang / Beral, Christophe / Cross, Andrew / Halder, Sandip et al. | 2020
- 1132529
-
Enabling accurate and robust optical metrology of in device overlayKang, Min-Seok / Hwang, Chan / Lee, Seungyoon / Lee, Jeongjin / Park, Joon-Soo / Leewis, Christian / Yang, Eun-Ji / Lee, Do-Haeng / Lee, James / Huda, Sabil et al. | 2020
- 1132530
-
Metrology of 3D-NAND structures using machine learning assisted fast marching level-sets algorithmAdiga, Umesh / Higgins, Derek / Lee, Sang Hoon / Biedrzycki, Mark / Nelson, Dan et al. | 2020
- 1132534
-
High speed, high accuracy displacement extraction from sinusoidal like Moiré fringes in a novel optical encoding technologyZhong, Zhijian / Li, Chenyi / Li, Shiguang / Li, Penghao / Ma, Qingcheng / Zhao, Haoyuan / Zhang, Jing / Wei, Yayi et al. | 2020