Improvement of CD uniformity using a new selective exposure focusing method (Englisch)
- Neue Suche nach: Lee, Seonho
- Neue Suche nach: Sohn, Jaewoong
- Neue Suche nach: Lee, Hyosung
- Neue Suche nach: Jung, Jaehyung
- Neue Suche nach: Kim, Youngjun
- Neue Suche nach: Lee, Heehong
- Neue Suche nach: Jin, HyoungHwa
- Neue Suche nach: Oh, Harim
- Neue Suche nach: Kim, Yongchan
- Neue Suche nach: Boogaarts, Maarten
- Neue Suche nach: Lee, Seonho
- Neue Suche nach: Sohn, Jaewoong
- Neue Suche nach: Lee, Hyosung
- Neue Suche nach: Jung, Jaehyung
- Neue Suche nach: Kim, Youngjun
- Neue Suche nach: Lee, Heehong
- Neue Suche nach: Jin, HyoungHwa
- Neue Suche nach: Oh, Harim
- Neue Suche nach: Kim, Yongchan
- Neue Suche nach: Boogaarts, Maarten
In:
Optical and EUV Nanolithography XXXVI
;
124940Y-124940Y-10
;
2023
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Print
-
Titel:Improvement of CD uniformity using a new selective exposure focusing method
-
Beteiligte:Lee, Seonho ( Autor:in ) / Sohn, Jaewoong ( Autor:in ) / Lee, Hyosung ( Autor:in ) / Jung, Jaehyung ( Autor:in ) / Kim, Youngjun ( Autor:in ) / Lee, Heehong ( Autor:in ) / Jin, HyoungHwa ( Autor:in ) / Oh, Harim ( Autor:in ) / Kim, Yongchan ( Autor:in ) / Boogaarts, Maarten ( Autor:in )
-
Kongress:Optical and EUV Nanolithography XXXVI
-
Erschienen in:Optical and EUV Nanolithography XXXVI ; 124940Y-124940Y-10Proceedings of SPIE, the International Society for Optical Engineering ; 12494 ; 124940Y-124940Y-10
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:01.01.2023
-
Format / Umfang:124940Y-124940Y-10
-
ISBN:
-
ISSN:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 124940A
-
Expected performance of digital scanner and the potential application for advanced semiconductor fabricationWatanabe, Yoji / Kanaya, Yuho / Saito, Yusuke / Sakamoto, Toshiaki / Masaki, Kazuo / Owa, Soichi / Koo, Thomas / Lin, Bryant / Tan, Michael / Tseng, David et al. | 2023
- 124940B
-
A holistic approach to model-based stochastic-aware computational lithographyWang, ChangAn / Fan, Yongfa / Feng, Mu / Xie, Qian / Wang, Jazer / Kaplan, Chris / Crouse, Michael / Li, Xiaoyang / Hsu, Stephen / Cao, Peigen et al. | 2023
- 124940C
-
Physical dose modeling and throughput optimization in EUV computational lithographyPeng, Austin / Kaplan, Christopher / Lu, Jeff / Crouse, Michael / Li, Zuanyi / Xie, Xiaobo / Rio, David / Woessner, Achim / Tan, Alexander / Zhang, Cuiping et al. | 2023
- 124940D
-
EUV pellicle scanner integration for N2 nodes and beyondvan de Kerkhof, Mark / Klein, Alexander / Seoane, Beatriz / Vermeulen, Paul / Gallagher, Emily / Timmermans, Marina Y. / Pollentier, Ivan / Bekaert, Joost et al. | 2023
- 124940E
-
CNT pellicles: recent optimization and exposure resultsBekaert, Joost / Gallagher, Emily / Timmermans, Marina Y. / Pollentier, Ivan / Jonckheere, Rik / Aubert, Remko / Hendrickx, Eric et al. | 2023
- 124940F
-
Absorber material deficiency impact on a stochastically patterned wafer analyzed with a clustered modelMelvin, Lawrence S. / Kandel, Yudhishthir / Levinson, Zachary A. / Welling, Ulrich et al. | 2023
- 124940G
-
Effect of particle defect to extreme ultra-violet pellicleJeon, Ji-Hyun / Kang, Ji-Won / Ko, Hee-Chang / Choi, Won-Young / Oh, Hye-Keun et al. | 2023
- 124940H
-
Modeling and verification of next-gen EUV mask absorbersSejpal, Rajiv / Maguire, William / Smith, Bruce W. et al. | 2023
- 124940I
-
EUV single patterning validation of curvilinear routingTreska, Fergo / Xu, Dongbo / Sherazi, Yasser / Gillijns, Werner et al. | 2023
- 124940J
-
Compact modeling of stochastics and application in OPCGillijns, Werner / Lee, Jae-uk / Kim, Ryan Ryoung han / Wei, Chih-I / Zhang, Xima / Latypov, Azat / Fenger, Germain / Sturtevant, John et al. | 2023
- 124940K
-
Binary solution for optimization of pixelated EUV source using constrained mathematical programmingYeung, Michael / Barouch, Eytan et al. | 2023
- 124940L
-
Improved methodology for prediction of merged contact hole defect process windowFrommhold, Andreas / Cerbu, Dorin / Rispens, Gijsbert / Maslow, Mark John / Rincon Delgadillo, Paulina et al. | 2023
- 124940M
-
Feasibility of logic metal scaling with 0.55NA EUV single patterningXu, Dongbo / Tan, Ling Ee / Philipsen, Vicky / Zimmermann, Joerg / Gillijns, Werner et al. | 2023
- 124940N
-
Experimental local MEEF study using programmed mask variability on hexagonal pitch 40 nm contact hole arraysVan Look, Lieve / Franke, Joern-Holger / Frommhold, Andreas / Colina, Alberto / Rispens, Gijsbert et al. | 2023
- 124940O
-
Depth of focus in high-NA EUV lithography: the role of assist features and their variability budgetGronheid, Roel / Vaglio Pret, Alex / Burov, Anatoly et al. | 2023
- 124940P
-
Defining Tatian-Zernike polynomials for use in a lithography simulatorMaguire, W. Ethan / Sejpal, Rajiv / Smith, Bruce W. et al. | 2023
- 124940Q
-
Overview of stitching for high NA: imaging and overlay experimental and simulation resultsDavydova, Natalia / van Look, Lieve / Wiaux, Vincent / Bekaert, Joost / Timmermans, Frank / van Setten, Eelco / Slachter, Bram / Huddleston, Laura / van Lare, Claire / Zhao, Rongkuo et al. | 2023
- 124940R
-
Supporting future DRAM overlay and EPE roadmaps with the NXT:2100iSmeets, Bart / Aben, Paul / Klinkhamer, Friso / van Damme, Jean Philippe / Paarhuis, Bart / Ganapathy Subramanian, Raaja / El Kodadi, Mohamed / Lichiardopol, Stefan / Pirati, Alberto / Vanoppen, Peter et al. | 2023
- 124940S
-
Impact of high-NA half-field to full-field overlay performance by Monte Carlo simulationsLallement, Romain / Schmidt, Daniel / Johnson, Richard / Wong, Jack / Carr, Christopher / Burkhardt, Martin / Morillo, Jaime / Gabor, Allen et al. | 2023
- 124940T
-
Speculation on the EUV photoresist behavior at different dose and pitchHong, Suk-Koo / Choi, Young Joo / Kim, Eunseol / Je, Sang Hyun / Kim, Jun Soo / Nam, Jaewoo / Park, Su Min et al. | 2023
- 124940U
-
EUV sub-resolution assist feature impact: experimental and simulation evaluationChen, Zheng / Burkhardt, Martin / Sieg, Stuart / Meli, Luciana et al. | 2023
- 124940V
-
An investigation on the process control for the solid application of EUV MORKim, Sangjin / Kim, Il-Hwan / Ryu, Hyungju / Seo, Yongbeom / Kim, Yigwon / Jang, Jinhee / Choi, Tae-Min / Jeong, Sol / Jeong, Yongchul / Cho, Kyoungyong et al. | 2023
- 124940W
-
Process optimization for next generation high-NA EUV patterning by computational lithography techniquesLevinson, Zachary / Dam, Thuc / Kareem, Pervaiz / Lee, C. Jay / Demmerle, Wolfgang / Klostermann, Ulrich et al. | 2023
- 124940X
-
EUV single patterning of random logic via using bright field maskTan, Ling Ee / Gillijns, Werner / Lee, Jae Uk / Xu, Dongbo / van de Kerkhove, Jeroen / Philipsen, Vicky / Kim, Ryoung-han et al. | 2023
- 124940Y
-
Improvement of CD uniformity using a new selective exposure focusing methodLee, Seonho / Sohn, Jaewoong / Lee, Hyosung / Jung, Jaehyung / Kim, Youngjun / Lee, Heehong / Jin, HyoungHwa / Oh, Harim / Kim, Yongchan / Boogaarts, Maarten et al. | 2023
- 124940Z
-
EUV light source for high-NA and low-NA lithographyUmstadter, Karl / Graham, Matthew / Purvis, Michael / Schafgans, Alex / Stewart, Jayson / Mayer, Peter / Brown, Daniel et al. | 2023
- 124941A
-
Surface treatment to reduce process defectsHsu, Yuan / Yang, Edison / Shimizu, Mochihiro et al. | 2023
- 124941B
-
Tin fluid dynamics driven by laser-produced plasmas relevant to EUV nanolithographyHemminga, Diko J. / Poirier, Lucas / Hernandez-Rueda, Javier / Liu, Bo / Lassise, Adam / Hoekstra, Ronnie / Sheil, John / Versolato, Oscar O. et al. | 2023
- 124941C
-
Impact of mask rule constraints on ideal SRAF placementKempsell Sears, Monica / Kmiec, Farah Huq / Lafferty, Neal / Sturtevant, John et al. | 2023
- 124941D
-
Plasma enhanced CO2 recombination in EUV drive lasersHerschberg, Andrew C. / Bartlett, Nathan / Crouse, Jameson / Ruzic, David N. et al. | 2023
- 124941E
-
Modelling of patterning impact from diffraction hot spots in high-NA EUV lensesMaguire, Ethan / Sejpal, Rajiv / Smith, Bruce W. et al. | 2023
- 124941F
-
Scattering cross sections of tin ions with molecular hydrogenBartlett, Nathan / Herschberg, Andrew / Crouse, Jameson / Garza, Raquel / Ganeson, Raj / Dallal, Tamar A. / Nuttall, Jake / Ringstad, Linus / Ruzic, David N. et al. | 2023
- 124941G
-
Hydrogen recycling for EUVDunbar, Zachary W. / Aubert, Remko / Van Ongeval, Joost / Vandeweyer, Tom / Hendrickx, Eric et al. | 2023
- 124941H
-
Comprehensive investigation on improvement of local CDU for sub-20nm DRAM devicesZhang, Zhong / Zhang, Yang / Zhu, Liang / Cheng, Yi / Dong, Hui / Gong, Kaige / Zhao, Jingjing / Xu, Jun / Ren, Alex et al. | 2023
- 1249401
-
Front Matter: Volume 12494| 2023
- 1249402
-
Memory technology: process and cell architectureChoe, Jeongdong et al. | 2023
- 1249403
-
Distribution of defective sub-cluster formation probability for stochastic hotspot predictionFukuda, Hiroshi et al. | 2023
- 1249404
-
Chemical approach to make the most of EUVL: stochastic effect mitigation with developer and rinseRyu, Hyungju / Kwon, Dokyeong / Song, Jiho / Park, Wongi / Gwak, Jinseong / Ko, Haram / Lee, Jiyoung / Kim, Jinpyoung / Ryu, Kyungseok / Hwang, Sungjo et al. | 2023
- 1249405
-
Hyper-NA EUV lithography: an imaging perspectiveLee, Inhwan / Franke, Joern-Holger / Philipsen, Vicky / Ronse, Kurt / De Gendt, Stefan / Hendrickx, Eric et al. | 2023
- 1249406
-
0.33 NA EUV systems for high volume manufacturingSmeets, Christophe / Benders, Nico / Bornebroek, Frank / Carbone, Joe / van Es, Roderik / Minnaert, Arthur / Salmaso, Guido / Young, Stuart et al. | 2023
- 1249407
-
Actinic inspection of the EUV optical parameters of lithographic materials with lab-based radiometry and reflectometryDorney, Kevin M. / Kissoon, Nicola N. / Holzmeier, Fabian / Larsen, Esben W. / Singh, Dhirendra P. / Arvind, Shikhar / Santra, Sayantani / Fallica, Roberto / Makhotkin, Igor / Philipsen, Vicky et al. | 2023
- 1249408
-
Mask roughness contribution to wafer edge placement errorFrommhold, Andreas / Franke, Joern-Holger / Kovalevich, Tatiana / Van Setten, Eelco / Vaenkatesan, Vidya et al. | 2023
- 1249409
-
EUV refractive index measurements with improved accuracy and their impact in component modelingDelmotte, Franck / Soufli, Regina / Burcklen, Catherine / Papagiannouli, Eirini / Salmassi, Farhad / Gullikson, Eric et al. | 2023
- 1249410
-
Mask absorber/tone and process impact on resist line-edge-roughnessOhtomi, Eisuke / Philipsen, Vicky / Severi, Joren / Welling, Ulrich / Melvin, Laurence S. / Takahata, Yosuke / Tanaka, Yusuke / De Simone, Danilo et al. | 2023
- 1249411
-
Development progress of key components for LPP-EUV light sourcesTomuro, Hiroaki / Ueno, Yoshifumi / Nagai, Shinji / Iwamoto, Fumio / Miyao, Kenichi / Hayashi, Hideyuki / Ishii, Takuya / Abe, Tamotsu / Nakarai, Hiroaki / Saitou, Takashi et al. | 2023
- 1249412
-
Robust and automated methodology for scanner matching using contour-based analysisSimiz, Jean Gabriel / Alestra, Romain / Bange, Romain / Ducoté, Julien / Dettoni, Florent / Fanton, Pierre et al. | 2023
- 1249413
-
Frequency doubling and phase error tolerance exploration for chrome-less phase shift maskLi, Liang / Jiang, Miao / Liang, Di / Yan, Binbin / Gao, Mingqi / Wu, Dajun / Lan, Andy / Shi, Jiangliu et al. | 2023
- 1249414
-
BEOL N2: M2 through SAxP process from MP21 to MP26: 193i SAQP vs EUV SADPHermans, Yannick / Wu, Chen / Buccheri, Nunzio / Schleicher, Filip / Versluijs, Janko / Montero, Daniel / Dey, Bappaditya / Wong, Patrick / Rincon-Delgadillo, Paulina / Park, Seongho et al. | 2023
- 1249415
-
Development of novel focus spot detection methods for high-volume manufacturingMohammad, Syed Naime / Tsou, Chao-Jen / Chiu, Afu / Birnstein, Norman / deGouw, Erick / Utzny, Clemens / Groeger, Philip / Buhl, Stefan / Wang, W. H. / Huang, C. H. et al. | 2023
- 1249416
-
Focus spot monitoring: design of an automatable detection, classification, and impact analysis pipelineMohammad, Syed Naime / Boese, Sven / Groeger, Philip / Bald, Holger / Lopez-Gomez, Alberto / Utzny, Clemens / Buhl, Stefan et al. | 2023
- 1249417
-
EUV SRAFs printing modeling and verification in 2D hole arrayTan, Ling Ee / Wei, Chih-I / Yin, Lianghoon / Latypov, Azat / Wang, Shuling / Sriram, Satya / Deep, Prakash / Shahidi, Farruh / Shang, Shumay / Fenger, Germain et al. | 2023
- 1249418
-
Kinetic simulations of plasma dynamics in the EUV sourcesLezhnin, Kirill V. / Totorica, Samuel / Hyder, Abdullah / Sheil, John / Versolato, Oscar O. / Diallo, Ahmed / Fox, William et al. | 2023
- 1249419
-
Importance sampling in Gaussian random field EUV stochastic model for quantification of stochastic variability of EUV viasPan, Zexin / Latypov, Azat / Wei, Chih-I / De Bisschop, Peter / Fenger, Germain / Sturtevant, John et al. | 2023