1.3 mum InAsP multiquantum well laser diodes with the n-type modulation-doped InAsP/InP/InGaP active region (Englisch)
- Neue Suche nach: Lei, P.-H.
- Neue Suche nach: Wu, M.-Y.
- Neue Suche nach: Wu, M.-C.
- Neue Suche nach: Lee, C.-Y.
- Neue Suche nach: Ho, W.-J.
- Neue Suche nach: Lin, C.-C.
- Neue Suche nach: Lei, P.-H.
- Neue Suche nach: Wu, M.-Y.
- Neue Suche nach: Wu, M.-C.
- Neue Suche nach: Lee, C.-Y.
- Neue Suche nach: Ho, W.-J.
- Neue Suche nach: Lin, C.-C.
In:
JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY B MICROELECTRONICS AND NANOMETER STRUCTURE
;
20
;
1013-1018
;
2002
-
ISSN:
- Aufsatz (Zeitschrift) / Print
-
Titel:1.3 mum InAsP multiquantum well laser diodes with the n-type modulation-doped InAsP/InP/InGaP active region
-
Beteiligte:Lei, P.-H. ( Autor:in ) / Wu, M.-Y. ( Autor:in ) / Wu, M.-C. ( Autor:in ) / Lee, C.-Y. ( Autor:in ) / Ho, W.-J. ( Autor:in ) / Lin, C.-C. ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: American Vacuum Society
-
Erscheinungsdatum:01.01.2002
-
Format / Umfang:6 pages
-
ISSN:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Print
-
Sprache:Englisch
- Neue Suche nach: 621.55
- Weitere Informationen zu Dewey Decimal Classification
-
Klassifikation:
DDC: 621.55 -
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis – Band 20
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Approach for a self-assembled thin film edge field emitterTsai, J. T. H. / Teo, K. B. K. / Milne, W. I. et al. | 2002
- 5
-
Low-voltage electron emission from mineral filmsRech, J. / Grauby, O. / Morin, R. et al. | 2002
- 10
-
Barrier height enhancement in the Schottky diodes with anodization processBı̇ber, M. / Temı̇rcı̇, C. / Türüt, A. et al. | 2002
- 14
-
Characterization of annealing effects of low temperature chemical vapor deposition oxide films as application of 4H-SiC metal–oxide–semiconductor devicesCho, Won-ju / Kim, Young-cheol et al. | 2002
- 19
-
Control of field emission current of individual sites by a local resistorCui, J. B. / Robertson, J. et al. | 2002
- 25
-
Electron optics using multipole lenses for a low energy electron beam direct writing systemYamazaki, Yuichiro / Nagano, Osamu / Hashimoto, Susumu / Ando, Atsushi / Sugihara, Kazuyoshi / Miyoshi, Motosuke / Okumura, Katsuya et al. | 2002
- 31
-
Power spectral densities: A multiple technique study of different Si wafer surfacesMarx, Egon / Malik, Igor J. / Strausser, Yale E. / Bristow, Thomas / Poduje, Noel / Stover, John C. et al. | 2002
- 42
-
Fabrication of c-axis oriented ZnO/AlN thin films prepared by radio frequency reactive sputtering and development of ZnO/AlN layered structure surface acoustic wave devicesYong, Yoon-Joong / Kang, Youn-Seon / Lee, Paul S. / Lee, Jai-Young et al. | 2002
- 47
-
Inductively coupled plasma etching of InP using andChen, Hsin-Yi / Ruda, Harry E. et al. | 2002
- 53
-
Double-gated Spindt emitters with stacked focusing electrodeDvorson, Leonard / Akinwande, Akintunde I. et al. | 2002
- 60
-
Characterization of self-assembled alkanethiol monolayers using a low-current scanning tunneling microscopeWang, D. W. / Tian, F. / Lu, J. G. et al. | 2002
- 65
-
I–V characteristics of thin-film gated photocathodes for electron-beam lithographyPei, Z. / Berglund, C. N. et al. | 2002
- 71
-
Stencil reticle cleaning using an Ar aerosol cleaning techniqueOkada, Masashi / Kawata, Shintaro / Sonoda, Yuzuru et al. | 2002
- 76
-
Potential distribution and field intensity for a hyperboloidal probe in a uniform fieldPassian, A. / Wig, A. / Meriaudeau, F. / Ferrell, T. L. et al. | 2002
- 81
-
Practical approach for modeling extreme ultraviolet lithography mask defectsGullikson, E. M. / Cerjan, C. / Stearns, D. G. / Mirkarimi, P. B. / Sweeney, D. W. et al. | 2002
- 87
-
Ion beam proximity lithography on spherical substrates with continuously scanned, self-complementary masksRuchhoeft, Paul / Wolfe, J. C. / Bass, Robert et al. | 2002
- 90
-
Improvement in partitioning method for electron beam lithography simulationAya, S. / Kise, K. / Marumoto, K. et al. | 2002
- 95
-
Nondestructive via in-hole profile characterization using atomic force microscopy metrologyAli, Abbas / Ukraintsev, Vladimir / Sabri, Hasan / Yang, Ming et al. | 2002
- 100
-
Simulations of field emission from a semiconducting (10,0) carbon nanotubeMayer, A. / Miskovsky, N. M. / Cutler, P. H. et al. | 2002
- 105
-
Investigation of macroscopic uniformity during reactive ion etching of InP and its improvement by use of a guard ringJaniak, K. / Niggebrügge, U. et al. | 2002
- 109
-
Properties of porous HSQ-based films capped by plasma enhanced chemical vapor deposition dielectric layersIacopi, F. / Baklanov, M. R. / Sleeckx, E. / Conard, T. / Bender, H. / Meynen, H. / Maex, K. et al. | 2002
- 116
-
Characterization of plasma-enhanced chemical vapor deposition carbon nanotubes by Auger electron spectroscopyTeo, K. B. K. / Chhowalla, M. / Amaratunga, G. A. J. / Milne, W. I. / Pirio, G. / Legagneux, P. / Wyczisk, F. / Olivier, J. / Pribat, D. et al. | 2002
- 122
-
Field electron emission from carbon nanotubes grown by plasma-enhanced chemical vapor depositionTanemura, M. / Filip, V. / Iwata, K. / Fujimoto, Y. / Okuyama, F. / Nicolaescu, D. / Sugie, H. et al. | 2002
- 128
-
Time variation of the work function of field emitter tip surface and the development of adsorption of residual gas molecules studied by sawtoothlike emission current methodYamamoto, Youiti / Nagakura, Sigemaro / Iwatsuki, Masashi et al. | 2002
- 138
-
Study on beam size correction free from Coulomb interactionTakenaka, H. / Sasago, M. et al. | 2002
- 145
-
Deuterium and fluorine radical reaction kinetics on photoresistGreer, Frank / Coburn, J. W. / Graves, David B. et al. | 2002
- 154
-
In situ trench etching and releasing technique of high aspect ratio beams using magnetically enhanced reactive ion etchingKok, Kitt Wai / Yoo, Won Jong / Sooriakumar, K. et al. | 2002
- 159
-
Spectra characterization of silicon carbonitride thin films by reactive radio frequency sputteringPeng, Xiaofeng / Song, Lixin / Le, Jun / Hu, Xingfang et al. | 2002
- 164
-
Calibration and validation of projection lithography focusing by fluorescence detection of latent photoacid images in chemically amplified resistFeke, G. D. / Grober, R. D. / Pohlers, G. / Cameron, J. F. et al. | 2002
- 167
-
Thermal agglomeration of single-crystalline Si layer on buried in ultrahigh vacuumNuryadi, Ratno / Ishikawa, Yasuhiko / Ono, Yukinori / Tabe, Michiharu et al. | 2002
- 173
-
Suppressing boron penetration and cobalt silicide agglomeration in deep submicron p-channel metal–oxide–semiconductor devicesKamal, A. H. M. / Obeidat, A. T. / Budri, T. et al. | 2002
- 180
-
Compact focusing system for ion and electron beamsReijonen, J. / Ji, Q. / King, T.-J. / Leung, K. N. / Persaud, A. / Wilde, S. et al. | 2002
- 185
-
Spatial distribution of reaction products in positive tone chemically amplified resistsSchmid, Gerard M. / Stewart, Michael D. / Singh, Vivek K. / Willson, C. Grant et al. | 2002
- 191
-
Dry etching of amorphous-Si gates for deep sub-100 nm silicon-on-insulator complementary metal–oxide semiconductorYost, D. / Forte, T. / Fritze, M. / Astolfi, D. / Suntharalingam, V. / Chen, C. K. / Cann, S. et al. | 2002
- 197
-
Etch depth control in bulk GaAs using patterning and real time spectroscopic ellipsometryCho, Sang-Jun / Snyder, P. G. / Herzinger, C. M. / Johs, B. et al. | 2002
- 203
-
Low turn-on voltage Mo-polycide field emitter arrays applied to field emission flat panel displayUh, Hyung Soo / Park, Sang Sik / Lee, Jong Duk et al. | 2002
- 209
-
Alloy layer disorder in strained-layer InAs/GaInSb/AlSb superlattices with infrared laser applicationsKitchin, M. R. / Shaw, M. J. / Hagon, J. P. / Jaros, M. et al. | 2002
- 216
-
Optical transitions in piezoelectrically polarized GaInN/GaN quantum wellsWetzel, C. / Nelson, J. / Kamiyama, S. / Amano, H. / Akasaki, I. et al. | 2002
- 219
-
Spectroscopic characterization of acid generation and concentration and free volume evolution in chemically amplified resistsJessop, J. L. P. / Goldie, S. N. / Scranton, A. B. / Blanchard, G. J. et al. | 2002
- 226
-
Ultrahigh vacuum scanning probe investigations of metal induced void formation inHåkanson, U. / Ohlsson, B. J. / Montelius, L. / Samuelson, L. et al. | 2002
- 230
-
Activation of group III combinations in silicon and modifications introduced by nitrogenAronowitz, S. / Zubkov, V. / Puchner, H. / Kimball, J. et al. | 2002
- 238
-
Field emission from chemical vapor deposition diamond surface with graphitic patchesCui, J. B. / Robertson, J. et al. | 2002
- 243
-
High direct energy band gaps determination in coherently grown on InPConvertino, Annalisa / Padeletti, Giuseppina / Cerri, Luciana / Viticoli, Sesto et al. | 2002
- 246
-
Heavy ion projection beam system for material modification at high ion energyWeidenmüller, U. / Meijer, J. / Stephan, A. / Bukow, H. H. / Sossna, E. / Volland, B. / Rangelow, I. W. et al. | 2002
- 250
-
Bright peak enhanced x-ray clear phase maskYang, Lei / Cerrina, Franco / Taylor, James W. et al. | 2002
- 257
-
Strontium silicide termination and silicate epitaxy on (001) SiNorton, D. P. / Park, C. / Lee, Y. E. / Budai, J. D. et al. | 2002
- 263
-
Effects of deposition temperature on the conduction mechanisms and reliability of radio frequency sputtered thin filmsChen, Shih-Fang / Wang, Ching-Wu et al. | 2002
- 271
-
Modified design for fabrication of metal based single electron transistorsHofmann, K. / Spangenberg, B. / Kurz, H. et al. | 2002
- 274
-
Effects of photowashing treatment on electrical properties of a GaAs metal–semiconductor field-effect transistorChoi, Kyoung Jin / Lee, Jong-Lam / Mun, Jae Kyoung / Kim, Heacheon et al. | 2002
- 278
-
Electron field emission from hydrogen-free amorphous carbon-coated ZnO tip arrayMao, D. S. / Wang, X. / Li, W. / Liu, X. H. / Li, Q. / Xu, J. F. et al. | 2002
- 282
-
Dissociation of molecules during molecular beam epitaxy of GaAsP on and GaAs substratesTatsuoka, Y. / Uemura, M. / Kitada, T. / Shimomura, S. / Hiyamizu, S. et al. | 2002
- 286
-
Comparative evaluation of protective coatings and focused ion beam chemical vapor deposition processesKempshall, B. W. / Giannuzzi, L. A. / Prenitzer, B. I. / Stevie, F. A. / Da, S. X. et al. | 2002
- 291
-
Photoluminescence of GaSb self-assembled quantum dot layers grown by metalorganic chemical vapor depositionMotlan / Goldys, E. M. / Dao, L V. et al. | 2002
- 295
-
Accuracy evaluation of the point diffraction interferometer for extreme ultraviolet lithography aspheric mirrorOtaki, K. / Yamamoto, T. / Fukuda, Y. / Ota, K. / Nishiyama, I. / Okazaki, S. et al. | 2002
- 301
-
-based dry etching of high Q InP microdisksChoi, Seung June / Djordjev, Kostadin / Choi, Sang Jun / Dapkus, P. Daniel et al. | 2002
- 306
-
Incorporation of helium-implant-induced cavities near the active regions of metal–oxide–semiconductor devices: Effects on dc electrical characteristicsTerry, J. / Haworth, L. I. / Gundlach, A. M. / Stevenson, J. T. M. / Vishnyakov, V. M. / Donnelly, S. E. et al. | 2002
- 311
-
Mechanical system construction for the EX-11 electron beam mask writer: A solution for 100 nm wafer lithographyAkeno, Kiminobu / Ogasawara, Munehiro / Tojo, Toru et al. | 2002
- 316
-
Electrostatic mask protection for extreme ultraviolet lithographyMoors, Roel / Heerens, Gert-Jan et al. | 2002
- 321
-
Investigation of breakdown characteristic of a novel plasma display panel discharge cell with rotationally symmetric structureTu, Yan / Zhang, Xiong / Wang, BaoPing / Yin, Hanchun / Tong, Linsu et al. | 2002
- 326
-
Microscopic characterization of electron field emissionNilsson, L. / Groening, O. / Kuettel, O. / Groening, P. / Schlapbach, L. et al. | 2002
- 338
-
Measuring optical image aberrations with pattern and probe based targetsRobins, Garth / Adam, Konstantinos / Neureuther, Andrew et al. | 2002
- 344
-
Effects of multilayer mask roughness on extreme ultraviolet lithographyDeng, Yunfei / Pistor, Tom / Neureuther, Andrew R. et al. | 2002
- 350
-
Fast closed loop control of piezoelectric transducersSchitter, Georg / Stemmer, Andreas et al. | 2002
- 353
-
Detection of chamber conditioning by plasmas in an inductively coupled plasma reactorCruden, Brett A. / Rao, M. V. V. S. / Sharma, Surendra P. / Meyyappan, M. et al. | 2002
- 364
-
Microfabrication of silicon tip structures for multiple-probe scanning tunneling microscopyBale, M. / Palmer, R. E. et al. | 2002
- 370
-
Membrane mask magnification correction: Alternate techniqueMurooka, Ken-ichi / Lim, Michael H. / Smith, Henry I. et al. | 2002
- 373
-
Ballistic electron emission microscopy of “on-surface” self-assembled InAs dots and wetting layersRakoczy, D. / Strasser, G. / Smoliner, J. et al. | 2002
- 379
-
Deep level centers in silicon introduced by high-energy He irradiation and subsequent annealingNakano, Yoshitaka / Ishiko, Masayasu / Tadano, Hiroshi et al. | 2002
- 382
-
Physical understanding of moisture induced degradation of nanoporous aluminum oxide thin filmsNahar, R. K. et al. | 2002
- 386
-
Fabrication of mesoscopic superconducting Nb wires using conventional electron-beam lithographic techniquesKim, Nam / Hansen, Klavs / Toppari, Jussi / Suppula, Tarmo / Pekola, Jukka et al. | 2002
- 389
-
Template-directed vapor–liquid–solid growth of silicon nanowiresLew, Kok-Keong / Reuther, Cordula / Carim, Altaf H. / Redwing, Joan M. / Martin, Benjamin R. et al. | 2002
- 393
-
Lateral force on fluoroalkylsilane self-assembled monolayers dependent on molecular orderingSugimura, Hiroyuki / Ushiyama, Kazuya / Hozumi, Atsushi / Takai, Osamu et al. | 2002
- 396
-
Lower sheet/contact resistance in shallower junction obtained by F+B mixed implantLee, Jung-Ho / Lee, Jeong-Youb / Yeo, In Seok / Lee, Seok-Kiu et al. | 2002
- 400
-
Electrical characteristics of ultrathin prepared by wet oxidation of an ultrathin Zr-metal layerJeon, Sanghun / Hwang, Hyunsang et al. | 2002
- 407
-
Technology CAD: Device simulation and characterizationGrasser, T. / Selberherr, S. et al. | 2002
- 414
-
Two-dimensional effects on ultralow energy B implants in SiGiannazzo, F. / Priolo, F. / Raineri, V. / Privitera, V. / Picariello, A. / Battaglia, A. / Moffat, S. et al. | 2002
- 419
-
Defect engineering: An approach on ultrashallow junction in siliconShao, Lin / Lu, Xinming / Wang, Xuemei / Rusakova, Irene / Liu, Jiarui / Chu, Wei-Kan et al. | 2002
- 422
-
Study of reverse annealing behaviors of ultrashallow junction formed using solid phase epitaxial annealingJin, Jian-Yue / Liu, Jinning / Jeong, Ukyo / Mehta, Sandeep / Jones, Kevin et al. | 2002
- 427
-
Room-temperature evolution of vacancy-type damage created by 2 keV implantation of SiGwilliam, R. M. / Knights, A. P. / Burrows, C. P. / Coleman, P. G. et al. | 2002
- 431
-
Nondestructive analysis of ultrashallow junction implant damage by combined technology of thermal wave and spectroscopic methodsHovinen, Minna / Opsal, Jon et al. | 2002
- 436
-
Quantitative determination of dopant dose in shallow implants using the low energy x-ray emission spectroscopy techniqueStaub, P.-F. / Hombourger, C. / Schuhmacher, M. et al. | 2002
- 441
-
Quantitative analysis of nitrogen in oxynitrides on silicon by secondary ion mass spectrometry?Kataoka, Y. / Shigeno, M. / Wittmaack, K. et al. | 2002
- 448
-
Backside sputter depth profiling of phosphorus diffusion from a polysilicon sourceRonsheim, P. / Chidambarrao, D. / Jagannathan, B. / Hunt, D. et al. | 2002
- 451
-
Spreading resistance roadmap towards and beyond the 70 nm technology nodeVandervorst, Wilfried / Clarysse, Trudo / Eyben, Pierre et al. | 2002
- 459
-
Impact of probe penetration on the electrical characterization of sub-50 nm profilesClarysse, T. / Vanhaeren, D. / Vandervorst, W. et al. | 2002
- 467
-
Developments in ultrashallow spreading resistance analysisDickey, David H. et al. | 2002
- 471
-
Scanning spreading resistance microscopy and spectroscopy for routine and quantitative two-dimensional carrier profilingEyben, P. / Xu, M. / Duhayon, N. / Clarysse, T. / Callewaert, S. / Vandervorst, W. et al. | 2002
- 479
-
Capacitance sensor with sub-zeptofarad F) sensitivity for scanning capacitance microscopyTran, T. / Oliver, D. R. / Thomson, D. J. / Bridges, G. E. et al. | 2002
- 483
-
Characterization of ultrashallow dopant profiles using spreading resistance profilingTan, L. S. / Tan, L. C. P. / Leong, M. S. / Mazur, R. G. / Ye, C. W. et al. | 2002
- 488
-
Product wafer monitoring of ultrashallow channel implants with an elastic metal gateHillard, Robert J. / Howland, William H. / Mazur, Robert G. / Ye, Win / Variam, Naushad K. et al. | 2002
- 495
-
Nucleation and film growth during copper chemical vapor deposition using the precursor Cu(TMVS)(hfac)Yang, Daewon / Hong, Jongwon / Richards, David F. / Cale, Timothy S. et al. | 2002
- 507
-
Comparison of secondary ion mass spectroscopy analysis of ultrashallow phosphorus using and primary ion beamsLoesing, R. / Guryanov, G. M. / Phillips, M. S. / Griffis, D. P. et al. | 2002
- 512
-
Band offsets of heterojunctionsCai, W. Z. / Miller, D. L. et al. | 2002
- 523
-
Coalescence inhibition in nanosized titania films and related effects on chemoresistive properties towards ethanolFerroni, M. / Guidi, V. / Martinelli, G. / Roncarati, G. / Comini, E. / Sberveglieri, G. / Vomiero, A. / Della Mea, G. et al. | 2002
- 531
-
Recent advances in resists for 157 nm microlithographyTrinque, Brian C. / Chiba, Takashi / Hung, Raymond J. / Chambers, Charles R. / Pinnow, Matthew J. / Osborn, Brian P. / Tran, Hoang V. / Wunderlich, Jennifer / Hsieh, Yu-Tsai / Thomas, Brian H. et al. | 2002
- 537
-
Advancements to the critical ionization dissolution modelBurns, Sean D. / Schmid, Gerard M. / Tsiartas, Pavlos C. / Willson, C. Grant / Flanagin, Lewis et al. | 2002
- 544
-
Influence of the cap layer on the Gibbs free energy above a layer of buried InGaAs islandsJogai, B. et al. | 2002
- 548
-
Investigation of temperature coefficient of resistance and crystallization of semiconducting YBaCuO thin films using pulsed laser annealingYildiz, A. / Çelik-Butler, Z. / Butler, D. P. / Kim, Choong-Un et al. | 2002
- 554
-
Chemically dependent traps and polytypes at Pt/Ti contacts to 4H and 6H–SiCTumakha, S. / Brillson, L. J. / Jessen, G. H. / Okojie, R. S. / Lukco, D. / Zhang, M. / Pirouz, P. et al. | 2002
- 561
-
Gap-filling capability and adhesion strength of the electroless-plated copper for submicron interconnect metallizationLin, Jian-Hong / Hsieh, Wei-Jen / Hsu, Jyh-Wei / Liu, Xein-Wien / Chen, Uei-Shin / Shih, Han C. et al. | 2002
- 566
-
Photocapacitance of deep levels in GaP crystals surface treated by reactive ion etchingHashimoto, Hideo / Saito, Takao / Suto, Ken / Nishizawa, Jun-ichi et al. | 2002
- 570
-
Use of reflective and amorphous materials for dark field stepper alignment on silicon carbide substratesMancini, David P. / Resnick, Douglas J. / Tompkins, Harland / Moore, Karen E. et al. | 2002
- 575
-
pH-mediated frictional forces at tungsten surfaces in aqueous environmentsLim, Min Soo / Perry, Scott S. / Galloway, Heather C. / Koeck, Deborah C. et al. | 2002
- 580
-
Epitaxially overgrown, stable W–GaAs Schottky contacts with sizes down to 50 nmWernersson, L.-E. / Georgsson, K. / Gustafsson, A. / Löfgren, A. / Montelius, L. / Nilsson, N. / Pettersson, H. / Seifert, W. / Samuelson, L. / Malm, J.-O. et al. | 2002
- 590
-
Characterization of platinum films deposited by focused ion beam-assisted chemical vapor depositionTelari, K. A. / Rogers, B. R. / Fang, H. / Shen, L. / Weller, R. A. / Braski, D. N. et al. | 2002
- 596
-
High-resolution in situ electron beam patterning using as a negative-type resistMitchell, William J. / Hu, Evelyn L. et al. | 2002
- 604
-
Study of the interface using spectroscopic ellipsometry and x-ray reflectometryItoh, Hitoshi / Mitani, Yuichirou / Satake, Hideki et al. | 2002
- 608
-
Electrochemical behavior of copper chemical mechanical polishing in slurryHsu, Jyh-Wei / Chiu, Shao-Yu / Tsai, Ming-Shih / Dai, Bau-Tong / Feng, Ming-Shiann / Shih, Han-C. et al. | 2002
- 613
-
Optimum implantation conditions for the edge termination of the Schottky diodesChoi, C. S. / Choi, W. Y. / Joo, M. H. / Song, J. H. / Im, S. et al. | 2002
- 618
-
Nanofabrication of photonic crystal membrane lasersCao, J. R. / Lee, Po-Tsung / Choi, Sang-Jun / Shafiiha, Roshanak / Choi, Seung-June / O’Brien, John D. / Dapkus, P. Daniel et al. | 2002
- 622
-
Atomistic simulations of deep submicron interconnect metallizationYang, Y. G. / Zhou, X. W. / Johnson, R. A. / Wadley, H. N. G. et al. | 2002
- 631
-
Ge-rich nanocrystal formation by the oxidation of an as-deposited thin amorphous layerYoon, Tae-Sik / Kim, Ki-Bum et al. | 2002
- 635
-
Effect of de-ionized water parameters rinse on postmetal etch residue removal using semiaqueous cleaning chemistriesSmall, Robert / Kirk, Simon / Cernat, Mihaela et al. | 2002
- 640
-
Nondestructive, in-line characterization of device performance parameters of shallow junction processesKluth, G. Jonathan / En, William G. / Borden, P. / Bechtler, L. / Nijmeijer, R. et al. | 2002
- 644
-
Redistribution and electrical activation of ultralow energy implanted boron in silicon following laser annealingWhelan, S. / Privitera, V. / Italia, M. / Mannino, G. / Bongiorno, C. / Spinella, C. / Fortunato, G. / Mariucci, L. / Stanizzi, M. / Mittiga, A. et al. | 2002
- 650
-
Towards the understanding of mechanical properties of super- and ultrahard nanocompositesVeprek, Stan / Argon, Ali S. et al. | 2002
- 665
-
Fabrication of nanocontacts for molecular devices using nanoimprint lithographyAustin, Michael / Chou, Stephen Y. et al. | 2002
- 668
-
Height control of InAs/GaAs quantum dots by combining layer-by-layer in situ etching and molecular beam epitaxyYang, T. / Ishikawa, T. / Kohmoto, S. / Nakamura, Y. / Nakamura, H. / Asakawa, K. et al. | 2002
- 673
-
Chiral pattern formation: Combined transmission electron microscopy and atomic force microscopy study of tetracyanoquinodimethane thin film grown by vacuum evaporationLi, J. C. / Liu, W. M. / Xue, Z. Q. et al. | 2002
- 678
-
Atomic force microscopy study of the growth and annealing of Ge islands on Si(100)Liu, Bing / Berrie, Cindy L. / Kitajima, Takeshi / Bright, John / Leone, Stephen R. et al. | 2002
- 685
-
Carrier concentrations and deep trap concentrations in high temperature GaAsHalder, N. C. / Krishnan, V. / Parshall, D. / Totzke, D. G. et al. | 2002
- 690
-
Relationship of concentration to deposition rates in the pyrolytic chemical vapor deposition processCruden, Brett A. / Gleason, Karen K. / Sawin, Herbert H. et al. | 2002
- 696
-
Radiation-induced protective carbon coating for extreme ultraviolet opticsKlebanoff, L. E. / Clift, W. M. / Malinowski, M. E. / Steinhaus, C. / Grunow, P. / Bajt, S. et al. | 2002
- 704
-
Combinatorial methodologies offer potential for rapid research of photoresist materials and formulationsLenhart, Joseph L. / Jones, Ronald L. / Lin, Eric K. / Soles, Christopher L. / Wu, Wen-li / Goldfarb, Dario L. / Angelopoulos, Marie et al. | 2002
- 710
-
Proximity exposure effect analysis using the phenomenon of resist debris formation in electron beam lithographyDeshmukh, P. R. et al. | 2002
- 717
-
Stress-induced failure of metal-insulator-metal capacitors fabricated by plasma enhanced chemical vapor depositionSuh, Dongwoo / Kang, Jin-Yeong et al. | 2002
- 721
-
Effect of thermal diffusion on a membrane-mask-distortion correction and compensation methodMurooka, Ken-ichi / Lim, Michael H. / Smith, Henry I. et al. | 2002
- 725
-
Relaxed SiGe-on-insulator fabricated via wafer bonding and etch backTaraschi, Gianni / Langdo, Thomas A. / Currie, Matthew T. / Fitzgerald, Eugene A. / Antoniadis, Dimitri A. et al. | 2002
- 728
-
Stability of irradiation-induced point defects on walls of carbon nanotubesKrasheninnikov, A. V. / Nordlund, K. et al. | 2002
- 734
-
Improving resist resolution and sensitivity via electric-field enhanced postexposure bakingCheng, Mosong / Yuan, Lei / Croffie, Ebo / Neureuther, Andrew et al. | 2002
- 741
-
Detailed study of scanning capacitance microscopy on cross-sectional and beveled junctionsDuhayon, N. / Clarysse, T. / Eyben, P. / Vandervorst, W. / Hellemans, L. et al. | 2002
- 747
-
Role of surface steps in the arrangement of silicon nano-dots on a vicinal Si(111) surface: Scanning tunneling microscopy investigationHa, Jeong Sook / Park, Kang-Ho / Ko, Young-Jo / Park, Kyungwan et al. | 2002
- 752
-
Low temperature wafer bonding by spin on glassLin, H. C. / Chang, K. L. / Pickrell, G. W. / Hsieh, K. C. / Cheng, K. Y. et al. | 2002
- 757
-
Theory of nanotip formationBilbro, Griff L. et al. | 2002
- 762
-
Three-dimensional site control of self-organized InAs quantum dots by in situ scanning tunneling probe-assisted nanolithography and molecular beam epitaxyKohmoto, S. / Nakamura, H. / Nishikawa, S. / Asakawa, K. et al. | 2002
- 766
-
Morphological evolution and surface and interface structure of aluminum on polyimideLin, Xue-Feng / Grove, David A. / Wei, Lun-Cun / Strossman, Greg S. / Lefever-Button, Glenn / Kingsley, Jeffrey R. et al. | 2002
- 776
-
Effect of polishing pretreatment on the fabrication of ordered nanopore arrays on aluminum foils by anodizationWu, M. T. / Leu, I. C. / Hon, M. H. et al. | 2002
- 783
-
Scanning capacitance microscopy measurements using diamond-coated probesYabuhara, Hidehiko / Ciappa, Mauro / Fichtner, Wolfgang et al. | 2002
- 787
-
Field electron emission device using silicon nanoprotrusionsSawada, Kazuaki / Tabe, Michiharu / Ishikawa, Yasuhiko / Ishida, Makoto et al. | 2002
- 791
-
Importance of fluorine surface diffusion for plasma etching of siliconVerdonck, P. / Goodyear, A. / Mansano, R. D. / Barroy, P. R. J. / St. J. Braithwaite, N. et al. | 2002
- 797
-
Advanced transfer system for spin coating film transfer and hot-pressing in planarization technologySato, Norio / Machida, Katsuyuki / Kudou, Kazuhisa / Yano, Masaki / Kyuragi, Hakaru et al. | 2002
- 802
-
Carbon nanotube films grown by laser-assisted chemical vapor depositionRohmund, F. / Morjan, R.-E. / Ledoux, G. / Huisken, F. / Alexandrescu, R. et al. | 2002
- 812
-
Quantum transport through one-dimensional aluminum wiresBatra, Inder P. / Sen, Prasenjit / Ciraci, S. et al. | 2002
- 818
-
Spatially selective single-grain silicon films induced by hydrogen plasma seedingBo, Xiang-Zheng / Yao, Nan / Wagner, Sigurd / Sturm, J. C. et al. | 2002
- 822
-
Atomic force microscopy using single-wall C nanotube probesSnow, E. S. / Campbell, P. M. / Novak, J. P. et al. | 2002
- 828
-
Characterization of methyl-doped silicon oxide film deposited using Flowfill™ chemical vapor deposition technologyLu, Hongqiang / Cui, Hao / Bhat, Ishwara / Murarka, Shyam / Lanford, Williams / Hsia, Wei-Jen / Li, Weidan et al. | 2002
- 834
-
Comparative study on alloy cluster formation in Co-Al and Co-Pt systemsKonno, Toyohiko J. / Yamamuro, Saeki / Sumiyama, Kenji et al. | 2002
- 843
-
Selective etching of Al/AlN structures for metallization of surface acoustic wave devicesEngelmark, F. / Iriarte, G. F. / Katardjiev, I. V. et al. | 2002
- 849
-
Electron-beam double resist process to enhance bright field pattern resolutionChan, Victor W. C. / Chan, Philip C. H. et al. | 2002
- 855
-
Simulation and dielectric characterization of reactive dc magnetron cosputtered thin filmsWestlinder, J. / Zhang, Y. / Engelmark, F. / Possnert, G. / Blom, H.-O. / Olsson, J. / Berg, S. et al. | 2002
- 862
-
Silicon nanowires with sub 10 nm lateral dimensions: From atomic force microscope lithography based fabrication to electrical measurementsLegrand, B. / Deresmes, D. / Stiévenard, D. et al. | 2002
- 871
-
Thin-film resistor fabrication for InP technology applicationsKopf, R. F. / Melendes, R. / Jacobson, D. C. / Tate, A. / Melendes, M. A. / Reyes, R. R. / Hamm, R. A. / Yang, Y. / Frackoviak, J. / Weimann, N. G. et al. | 2002
- 876
-
Protection of structures during lateral oxidation using an amorphous InGaP layerPickrell, G. W. / Chang, K. L. / Epple, J. H. / Cheng, K. Y. / Hsieh, K. C. et al. | 2002
- 880
-
Thermal stability of multilayer structures improved by cavity formationAlberti, A. / La Via, F. / Ravesi, S. et al. | 2002
- 885
-
Inverse electronic scattering from shifted projections within the Fresnel-Kirchhoff formalismMayer, A. et al. | 2002
- 891
-
Development of a data-driven dynamic model for a plasma etching reactorZhang, Haiyang / Nikolaou, Michael / Peng, Ying et al. | 2002
- 902
-
Deep etch of GaP using high-density plasma for light-emitting diode applicationsWuu, D. S. / Chung, C. R. / Liu, Y. H. / Horng, R. H. / Huang, S. H. et al. | 2002
- 909
-
Highly cross-linked polysilane as antireflective coating for deep ultraviolet lithography to improve durability during etchingSato, Yasuhiko / Shiobara, Eishi / Onishi, Yasunobu / Yoshikawa, Sawako / Nakano, Yoshihiko / Hayase, Shuzi / Hamada, Yoshitaka et al. | 2002
- 914
-
Ion-graphy implanter with stencil maskNishihashi, T. / Kashimoto, K. / Fujiyama, J. / Sakurada, Y. / Shibata, T. / Suguro, K. / Sugihara, K. / Okumura, K. / Gotou, T. / Saji, S. et al. | 2002
- 918
-
Chemical mechanical polishing of shallow trench isolation using the ceria-based high selectivity slurry for sub-0.18 μm complementary metal–oxide–semiconductor fabricationKim, Sam-Dong / Hwang, In-Seok / Park, Hyung-Moo / Rhee, Jin-Koo / Nam, Chul-Woo et al. | 2002
- 918
-
Chemical mechanical polishing of shallow trench isolation using the ceria-based high selectivity slurry for sub-0.18 mum complementary metal-oxide-semiconductor fabricationKim, S.-D. / Hwang, I.-S. / Park, H.-M. / Rhee, J.-K. / Nam, C.-W. et al. | 2002
- 924
-
Influence of resist components on image blur in a patterned positive-tone chemically amplified photoresistHoule, F. A. / Hinsberg, W. D. / Sanchez, M. I. / Hoffnagle, J. A. et al. | 2002
- 932
-
Fabrication of micrometer and nanometer scale structures in silica sol-gel films using electron beam writing methodsVisovsky, Nick J. / Ukrainczyk, Ljerka / Dawes, Steven B. et al. | 2002
- 936
-
Ion implantation effects on the structure and nanomechanical properties of vapor deposited cubic boron nitride filmsYamada-Takamura, Y. / Yoshida, T. et al. | 2002
- 940
-
Electroplating copper in sub-100 nm gaps by additives with low consumption and diffusion abilityLin, Kun-Cheng / Shieh, Jia-Min / Chang, Shih-Chieh / Dai, Bau-Tong / Chen, Chia-Fu / Feng, Ming-Shiann et al. | 2002
- 946
-
Molecular dynamics simulation of sputter trench-filling morphology in damascene processJu, Shin-Pon / Weng, Cheng-I / Chang, Jee-Gong / Hwang, Chi-Chuan et al. | 2002
- 956
-
Patterning pentacene organic thin film transistorsKymissis, Ioannis / Dimitrakopoulos, Christos D. / Purushothaman, Sampath et al. | 2002
- 960
-
Controlled tuning of periodic morphologies on vicinal surfacesSzkutnik, Pierre-David / Sander, Dirk / Dulot, Frédéric / d’Avitaya, François Arnaud / Hanbücken, Margrit et al. | 2002
- 964
-
Photoluminescence characteristics of GaInNAs quantum wells annealed at high temperatureNg, T. K. / Yoon, S. F. / Wang, S. Z. / Loke, W. K. / Fan, W. J. et al. | 2002
- 969
-
Room temperature magnetism in produced by both ion implantation and molecular-beam epitaxyOverberg, M. E. / Gila, B. P. / Thaler, G. T. / Abernathy, C. R. / Pearton, S. J. / Theodoropoulou, N. A. / McCarthy, K. T. / Arnason, S. B. / Hebard, A. F. / Chu, S. N. G. et al. | 2002
- 974
-
Characterization by medium energy ion scattering of damage and dopant profiles produced by ultrashallow B and As implants into Si at different temperaturesVan den Berg, J. A. / Armour, D. G. / Zhang, S. / Whelan, S. / Ohno, H. / Wang, T.-S. / Cullis, A. G. / Collart, E. H. J. / Goldberg, R. D. / Bailey, P. et al. | 2002
- 984
-
Specular ion current measurements as a quantitative, real-time probe of GaAs(001) epitaxial growthRuthe, K. C. / DeLuca, P. M. / Barnett, S. A. et al. | 2002
- 992
-
Cluster-ion implantation: An approach to fabricate ultrashallow junctions in siliconLu, Xinming / Shao, Lin / Wang, Xuemei / Liu, Jiarui / Chu, Wei-Kan / Bennett, Joe / Larson, Larry / Ling, Peiching et al. | 2002
- 995
-
Nanophase films deposited from a high-rate, nanoparticle beamUrban, F. K. / Hosseini-Tehrani, A. / Griffiths, P. / Khabari, A. / Kim, Y.-W. / Petrov, I. et al. | 2002
- 1000
-
Effects of the polymer residues on via contact resistance after reactive ion etchingKo, Hyoung-Soo / Nah, Jae-Woong / Paik, Kyung W. / Park, Y. et al. | 2002
- 1008
-
Freestanding microheaters in Si with high aspect ratio microstructuresTian, W.-C. / Pang, S. W. et al. | 2002
- 1013
-
1.3 mum InAsP multiquantum well laser diodes with the n-type modulation-doped InAsP/InP/InGaP active regionLei, P.-H. / Wu, M.-Y. / Wu, M.-C. / Lee, C.-Y. / Ho, W.-J. / Lin, C.-C. et al. | 2002
- 1013
-
1.3 μm InAsP multiquantum well laser diodes with the n-type modulation-doped InAsP/InP/InGaP active regionLei, Po-Hsun / Wu, Ming-Yuan / Wu, Meng-Chyi / Lee, Chong-Yi / Ho, Wen-Jeng / Lin, Chia-Chien et al. | 2002
- 1019
-
Etching method for fabricating ultracompact three-dimensional monolithic microwave integrated circuitsSugitani, Suehiro / Onodera, Kiyomitsu / Aoyama, Shinji / Hirano, Makoto / Tokumitsu, Masami et al. | 2002
- 1026
-
Charging-damage-free and precise dielectric etching in pulsed plasmaOhtake, H. / Samukawa, S. et al. | 2002
- 1031
-
Modeling and simulation of atomic layer deposition at the feature scaleGobbert, Matthias K. / Prasad, Vinay / Cale, Timothy S. et al. | 2002
- 1044
-
Low-energy electron point source microscope as a tool for transport measurements of free-standing nanometer-scale objects: Application to carbon nanotubesDorozhkin, P. / Nejoh, H. / Fujita, D. et al. | 2002
- 1048
-
Reduced pressure chemical vapor deposition of and heterostructuresLoup, V. / Hartmann, J. M. / Rolland, G. / Holliger, P. / Laugier, F. / Vannuffel, C. / Séméria, M. N. et al. | 2002
- 1055
-
Etching of polysilicon in inductively coupled and HBr discharges. I. Experimental characterization of polysilicon profilesMahorowala, Arpan P. / Sawin, Herbert H. / Jones, Richard / Labun, Andrew H. et al. | 2002
- 1064
-
Etching of polysilicon in inductively coupled and HBr discharges. II. Simulation of profile evolution using cellular representation of feature composition and Monte Carlo computation of flux and surface kineticsMahorowala, Arpan P. / Sawin, Herbert H. et al. | 2002
- 1077
-
Etching of polysilicon in inductively coupled and HBr discharges. III. Photoresist mask faceting, sidewall deposition, and microtrenchingMahorowala, Arpan P. / Sawin, Herbert H. et al. | 2002
- 1084
-
Etching of polysilicon in inductively coupled and HBr discharges. IV. Calculation of feature charging in profile evolutionMahorowala, Arpan P. / Sawin, Herbert H. et al. | 2002
- 1096
-
Study of InGaP/GaAs/InGaAs high-barrier gate and heterostructure-channel field-effect transistorsYu, Kuo-Hui / Lin, Kun-Wei / Lin, Kuan-Po / Yen, Chih-Hung / Wang, Ckih-Kai / Liu, Wen-Chau et al. | 2002
- 1102
-
Growth and evolution of ZnCdSe quantum dotsShan, C. X. / Fan, X. W. / Zhang, J. Y. / Zhang, Z. Z. / Li, B. S. / Lu, Y. M. / Liu, Y. C. / Shen, D. Z. / Kong, X. G. / Wang, X. H. et al. | 2002
- 1107
-
Selective wet etching of AlGaAs in solutions: Application to vertical taper structures in integrated optoelectronic devicesHuang, Hui / Huang, Yongqing / Ren, Xiaomin et al. | 2002
- 1111
-
Effects of the underlayer substrates on copper chemical vapor depositionLin, Cheng-Li / Chen, Peng-Sen / Chen, Mao-Chieh et al. | 2002
- 1118
-
Sub-50 nm nanopatterning of metallic layers by green pulsed laser combined with atomic force microscopyHuang, S. M. / Hong, M. H. / Luk’yanchuk, B. S. / Lu, Y. F. / Song, W. D. / Chong, T. C. et al. | 2002
- 1126
-
Electron trapping in noncrystalline remote plasma deposited Hf-aluminate alloys for gate dielectric applicationsJohnson, R. S. / Hong, J. G. / Hinkle, C. / Lucovsky, G. et al. | 2002
- 1132
-
Feasibility of thin film microfabricated hydrogen ion sourcesReuss, Robert H. / Chalamala, Babu R. et al. | 2002
- 1135
-
Evidence of storing and erasing of electrons in a nanocrystalline-Si based memory device at 77 KBanerjee, Souri / Huang, Shaoyun / Yamanaka, Takayuki / Oda, Shunri et al. | 2002
- 1139
-
Investigation of polymethylmethacrylate resist residues using photoelectron microscopyMaximov, I. / Zakharov, A. A. / Holmqvist, T. / Montelius, L. / Lindau, I. et al. | 2002
- 1143
-
Ultrathin nitrided-nanolaminate for metal–oxide–semiconductor gate dielectric applicationsJeon, Sanghun / Yang, Hyundoek / Chang, Hyo Sik / Park, Dae-Gyu / Hwang, Hyunsang et al. | 2002
- 1153
-
Preface| 2002
- 1154
-
Optical characterization of strained InGaAsN/GaAs multiple quantum wellsHéroux, J. B. / Yang, X. / Wang, W. I. et al. | 2002
- 1158
-
Molecular beam epitaxy growth of GaAsN layers with high luminescence efficiencyKovsh, A. R. / Wang, J. S. / Wei, L. / Shiao, R. S. / Chi, J. Y. / Volovik, B. V. / Tsatsul’nikov, A. F. / Ustinov, V. M. et al. | 2002
- 1163
-
Growth of GaInNAs quaternaries using a digital alloy techniqueHong, Y. G. / Egorov, A. Yu. / Tu, C. W. et al. | 2002
- 1167
-
Growth and characterization of Ga~0~.~8In~0~.~2(N)As quantum wells with GaN~xAs~1~-~x(xGovindaraju, S. / Holmes, A. L. et al. | 2002
- 1167
-
Growth and characterization of quantum wells with barriers by plasma-assisted molecular beam epitaxyGovindaraju, Sridhar / Holmes, Archie L. et al. | 2002
- 1170
-
Substrate preparation and low-temperature boron doped silicon growth on wafer-scale charge-coupled devices by molecular beam epitaxyCalawa, S. D. / Burke, B. E. / Nitishin, P. M. / Loomis, A. H. / Gregory, J. A. / Lind, T. A. et al. | 2002
- 1174
-
Structural and transport characterization of AlSb/InAs quantum-well structures grown by molecular-beam epitaxy with two growth interruptionsSigmund, J. / Saglam, M. / Hartnagel, H. L. / Zverev, V. N. / Raichev, O. E. / Debray, P. / Miehe, G. / Fuess, H. et al. | 2002
- 1178
-
Atomistics of III–V semiconductor surfaces: Role of group V pressureGrosse, Frank / Barvosa-Carter, William / Zinck, Jenna J. / Gyure, Mark F. et al. | 2002
- 1182
-
Electrical spin injection into quantum dots using (Ga,Mn)AsGhosh, S. / Bhattacharya, P. et al. | 2002
- 1185
-
Low-bias, high-temperature performance of a normal-incidence InAs/GaAs vertical quantum-dot infrared photodetector with a current-blocking barrierStiff-Roberts, A. D. / Krishna, S. / Bhattacharya, P. / Kennerly, S. et al. | 2002
- 1188
-
Tailoring mid- and long-wavelength dual response of InAs quantum-dot infrared photodetectors using capping layersKim, Eui-Tae / Chen, Zhonghui / Ho, Max / Madhukar, Anupam et al. | 2002
- 1192
-
Trench-type InGaAs quantum-wire field effect transistor with negative differential conductance fabricated by hydrogen-assisted molecular beam epitaxySugaya, Takeyoshi / Bird, Jonathan P. / Ferry, David K. / Jang, Kee Youn / Ogura, Mutsuo / Sugiyama, Yoshinobu et al. | 2002
- 1196
-
High quality GaAs grown on Si-on-insulator compliant substratesPei, C. W. / Héroux, J. B. / Sweet, J. / Wang, W. I. / Chen, J. / Chang, M. F. et al. | 2002
- 1200
-
Molecular-beam epitaxy production of large-diameter metamorphic high electron mobility transistor and heterojunction bipolar transistor wafersBaklenov, O. / Lubyshev, D. / Wu, Y. / Fang, X.-M. / Fastenau, J. M. / Leung, L. / Towner, F. J. / Cornfeld, A. B. / Liu, W. K. et al. | 2002
- 1205
-
Interfacial roughness and carrier scattering due to misfit dislocations in structuresNaidenkova, M. / Goorsky, M. S. / Sandhu, R. / Hsing, R. / Wojtowicz, M. / Chin, T. P. / Block, T. R. / Streit, D. C. et al. | 2002
- 1209
-
High-frequency metamorphic photodiodes and high-electron mobility transistor transimpedance amplifiers: Candidates for fiber-optic communicationsHoke, W. E. / Leoni, R. E. / Whelan, C. S. / Marsh, P. F. / Jang, J. H. / Adesida, I. / Joshi, A. M. / Wang, X. et al. | 2002
- 1213
-
InAs-based bipolar transistors grown by molecular beam epitaxyAverett, K. L. / Maimon, S. / Wu, X. / Koch, M. W. / Wicks, G. W. et al. | 2002
- 1217
-
Investigation of Si doping and impurity incorporation dependence on the polarity of GaN by molecular beam epitaxyNg, H. M. / Cho, A. Y. et al. | 2002
- 1221
-
Role of low-temperature (200^oC) nitridation in the growth of GaN by plasma-assisted molecular-beam epitaxyNamkoong, G. / Doolittle, W. A. / Brown, A. S. / Losurdo, M. / Capezzuto, P. / Bruno, G. et al. | 2002
- 1221
-
Role of low-temperature (200 °C) nitridation in the growth of GaN by plasma-assisted molecular-beam epitaxyNamkoong, Gon / Doolittle, W. Alan / Brown, April S. / Losurdo, Maria / Capezzuto, Pio / Bruno, Giovanni et al. | 2002
- 1229
-
High reflectivity and crack-free AlGaN/AlN ultraviolet distributed Bragg reflectorsBhattacharyya, A. / Iyer, Sandeep / Iliopoulos, E. / Sampath, A. V. / Cabalu, J. / Moustakas, T. D. / Friel, I. et al. | 2002
- 1234
-
Surface and interface characterization of GaN/AlGaN high electron mobility transistor structures by x-ray and atomic force microscopyTorabi, A. / Ericson, P. / Yarranton, E. J. / Hoke, W. E. et al. | 2002
- 1238
-
Photoreflectance spectroscopy of AlGaAs/GaAs heterostructures with a two-dimensional electron gas systemMéndez-Garcı́a, V. H. / Zamora, L. / Lastras-Martinez, A. / Saucedo, N. / Peña, R. / Guillén, A. / Rivera, Z. / Meléndez, M. / López, M. / Hernández, F. et al. | 2002
- 1243
-
Intraband and interband photocurrent spectroscopy and induced dipole moments of InAs/GaAs(001) quantum dots in photodetector structuresChen, Zhonghui / Kim, Eui-Tae / Madhukar, Anupam et al. | 2002
- 1247
-
Dislocation structure and relaxation kinetics in InGaAs/GaAs heteroepitaxyLynch, C. / Chason, E. / Beresford, R. / Chen, E. B. / Paine, D. C. et al. | 2002
- 1251
-
Kinetics of the heteroepitaxial growth of Ge on Si(001)Yam, V. / Thanh, Vinh Le / Boucaud, P. / Débarre, D. / Bouchier, D. et al. | 2002
- 1259
-
Vertical ordering in multilayers of self-assembled Ge/Si(001) quantum dotsLe Thanh, Vinh / Yam, V. / Nguyen, Lam H. / Zheng, Y. / Boucaud, P. / Débarre, D. / Bouchier, D. et al. | 2002
- 1266
-
Growth and characterization of ferromagnetic epilayers on (001) ZnSeChun, S. H. / Ku, K. C. / Potashnik, S. J. / Schiffer, P. / Samarth, N. et al. | 2002
- 1270
-
High characteristic temperature K) of stacked InGaAs quantum wire lasers grown on (775)B GaAs substrates by molecular beam epitaxyOhno, Yasuhide / Kanamori, Hironori / Shimomura, Satoshi / Hiyamizu, Satoshi et al. | 2002
- 1274
-
Single-crystal GaN/Gd2O3/GaN heterostructureHong, M. / Kwo, J. / Chu, S. N. G. / Mannaerts, J. P. / Kortan, A. R. / Ng, H. M. / Cho, A. Y. / Anselm, K. A. / Lee, C. M. / Chyi, J. I. et al. | 2002
- 1278
-
Molecular-beam epitaxy growth and properties of alloys for optoelectronic devicesMaksimov, O. / Muñoz, Martin / Tamargo, M. C. / Lau, J. / Neumark, G. F. et al. | 2002
- 1287
-
Miniature fuel cells for portable power: Design considerations and challengesMaynard, Helen L. / Meyers, Jeremy P. et al. | 2002
- 1298
-
Control of shape of silicon needles fabricated by highly selective anisotropic dry etchingKanechika, Masakazu / Sugimoto, Noriaki / Mitsushima, Yasuichi et al. | 2002
- 1303
-
Probabilistic gel formation theory in negative tone chemically amplified resists used in optical and electron beam lithographyPatsis, G. P. / Glezos, N. et al. | 2002
- 1311
-
Wetting effect on gap filling submicron damascene by an electrolyte free of levelersChang, Shih-Chieh / Shieh, Jia-Min / Lin, Kun-Cheng / Dai, Bau-Tong / Wang, Ting-Chun / Chen, Chia-Fu / Feng, Ming-Shiann / Li, Ying-Hao / Lu, Chih-Peng et al. | 2002
- 1317
-
Characterization of the crotalus durissus terrificus venom by atomic force microscopyBaranauskas, Vitor / Dourado, Doroty Mesquita / Jingguo, Zhao / da Cruz-Höfling, Maria Alice et al. | 2002
- 1321
-
Diffusion barrier properties of transition metal thin films grown by plasma-enhanced atomic-layer depositionKim, H. / Cabral, C. / Lavoie, C. / Rossnagel, S. M. et al. | 2002
- 1327
-
Effect of on the etch profile of InP/InGaAsP alloys in inductively coupled plasma reactive ion etching chemistries for photonic device fabricationRommel, Sean L. / Jang, Jae-Hyung / Lu, Wu / Cueva, Gabriel / Zhou, Ling / Adesida, Ilesanmi / Pajer, Gary / Whaley, Ralph / Lepore, Allen / Schellanbarger, Zane et al. | 2002
- 1331
-
Silicon dioxide film with lower deposition temperature in hot-wall, single-type chamberYoon, Soosik / Park, Haejin / Song, Juho et al. | 2002
- 1334
-
Effective repair to ultra-low-k dielectric material (k∼2.0) by hexamethyldisilazane treatmentMor, Y. S. / Chang, T. C. / Liu, P. T. / Tsai, T. M. / Chen, C. W. / Yan, S. T. / Chu, C. J. / Wu, W. F. / Pan, F. M. / Lur, Water et al. | 2002
- 1339
-
Gallium nitride: Method of defect characterization by wet oxidation in an oxalic acid electrolytic cellSundararajan, Sri Priya / Crouse, David / Lo, Yu-Hwa et al. | 2002
- 1342
-
Study of the acid-diffusion effect on line edge roughness using the edge roughness evaluation methodYoshizawa, Masaki / Moriya, Shigeru et al. | 2002
- 1348
-
Electrical contrast observations and voltage measurements by Kelvin probe force gradient microscopyGirard, P. / Ramonda, M. / Saluel, D. et al. | 2002
- 1356
-
Molecular beam epitaxy of IV–VI mid-infrared vertical cavity surface-emitting quantum well laser structuresWu, H. / Zhao, F. / Jayasinghe, L. / Shi, Z. et al. | 2002
- 1360
-
Characteristics of film as an alternative gate dielectric in metal–oxide–semiconductor devicesKang, Hyeoksu / Roh, Yonghan / Bae, Geunhag / Jung, Donggeun / Yang, Cheol-Woong et al. | 2002
- 1364
-
Improved quality grown by molecular beam epitaxy with dispersive nitrogen sourceWang, S. Z. / Yoon, S. F. / Loke, W. K. / Ng, T. K. / Fan, W. J. et al. | 2002
- 1368
-
Structure and photoluminescence features of nanocrystalline films produced by plasma chemical vapor deposition and post-treatmentWu, Xiaochun / Ossadnik, Ch. / Eggs, Ch. / Veprek, S. / Phillipp, F. et al. | 2002
- 1379
-
Getter requirements for a cathode ray tube with a diamond coated field emitter electron sourceNemanič, Vincenc / Žumer, Marko / Zajec, Bojan / Tyler, Talmage et al. | 2002
- 1384
-
Impact of pitting defect on 0.25 mum standard random access memoryChiu, C. M. / Yen, T. F. / Chiu, K.-F. et al. | 2002
- 1384
-
Impact of pitting defect on 0.25 μm standard random access memoryChiu, C. M. / Yen, T. F. / Chiu, K-F. et al. | 2002
- 1388
-
Improvements of characteristics of fluorinated dielectric films integrated as interlayer dielectricsShieh, Jia-Min / Tsai, Kou-Chiang / Suen, Shich-Chang / Dai, Bau-Tong et al. | 2002
- 1394
-
Effects of a Ni cap layer on transparent Ni/Au ohmic contacts to -GaNLiu, B. / Lambers, E. / Alexander, W. B. / Holloway, P. H. et al. | 2002
- 1402
-
Mechanism of cleaning Si(100) surface using Sr or SrO for the growth of crystalline filmsWei, Yi / Hu, Xiaoming / Liang, Yong / Jordan, D. C. / Craigo, Brad / Droopad, Ravi / Yu, Z. / Demkov, Alex / Edwards, John L. / Ooms, William J. et al. | 2002
- 1406
-
Low-temperature formation of highly reliable silicon-nitride gate dielectrics with suppressed soft-breakdown phenomena for advanced complementary metal–oxide–semiconductor technologyNakajima, Anri / Khosru, Quazi Deen Mohd / Yoshimoto, Takashi / Kidera, Toshirou / Yokoyama, Shin et al. | 2002
- 1410
-
Fabrication of single-electron tunneling transistors with an electrically formed Coulomb island in a silicon-on-insulator nanowireKim, Dae Hwan / Sung, Suk-Kang / Kim, Kyung Rok / Lee, Jong Duk / Park, Byung-Gook et al. | 2002
- 1419
-
Morphological evolution of epitaxial cobalt–semiconductor compound layers during growth in a scanning tunneling microscopeGoldfarb, I. / Briggs, G. A. D. et al. | 2002
- 1427
-
Electrical characteristics of polycrystalline silicon thin film transistors using the Cu-field aided lateral crystallization processKwon, Se-Youl / Park, Kyoung-Wan / Lee, Jae-Bok / Choi, Duck-Kyun et al. | 2002
- 1431
-
Thermal effects in atomic-order nitridation of Si by a nitrogen plasmaSeino, T. / Muto, D. / Matsuura, T. / Murota, J. et al. | 2002