Initial stages of MnAs heteroepitaxy and nanoisland growth on GaAs(110) and (001) surfaces (Englisch)
- Neue Suche nach: Hirayama, M.
- Neue Suche nach: Bell, G.R.
- Neue Suche nach: Tsukamoto, S.
- Neue Suche nach: Hirayama, M.
- Neue Suche nach: Bell, G.R.
- Neue Suche nach: Tsukamoto, S.
In:
JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY B MICROELECTRONICS AND NANOMETER STRUCTURES
;
29
;
04D109
;
2011
-
ISSN:
- Aufsatz (Zeitschrift) / Print
-
Titel:Initial stages of MnAs heteroepitaxy and nanoisland growth on GaAs(110) and (001) surfaces
-
Beteiligte:
-
Erschienen in:
-
Verlag:
- Neue Suche nach: American Vacuum Society
-
Erscheinungsdatum:01.01.2011
-
Format / Umfang:04D109
-
ISSN:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Print
-
Sprache:Englisch
- Neue Suche nach: 621.55
- Weitere Informationen zu Dewey Decimal Classification
-
Klassifikation:
DDC: 621.55 -
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis – Band 29
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
-
Atomic layer deposition of HfO~2 and Al~2O~3 layers on 300 mm Si wafers for gate stack technologyLuptak, R. / Lopes, J.M.J. / Lenk, S. / Hollander, B. / Ozben, E.D. / Tiedemann, A.T. / Schnee, M. / Schubert, J. / Habicht, S. / Feste, S. et al. | 2011
- 01A303/1
-
Chemical vapor deposition and characterization of high-k BaHf1-xTixO3 dielectric layers for microelectronic applicationsAbrutis, A. / Katkus, T. / Stanionyte, S. / Kubilius, V. / Lupina, G. / Wenger, Ch / Lukosius, M. et al. | 2011
-
Characterization of thickness variations of thin dielectric layers at the nanoscale using scanning capacitance microscopyYanev, V. / Rommel, M. / Bauer, A.J. / Frey, L. et al. | 2011
-
Properties of SiO~2 and Si~3N~4 as gate dielectrics for printed ZnO transistorsWalther, S. / Polster, S. / Meyer, B. / Jank, M.P.M. / Ryssel, H. / Frey, L. et al. | 2011
-
Electrical properties of InAlN/GaN high electron mobility transistor with Al~2O~3, ZrO~2, and GdScO~3 gate dielectricsCico, K. / Husekova, K. / Tapajna, M. / Gregusova, D. / Stoklas, R. / Kuzmik, J. / Carlin, J.-F. / Grandjean, N. / Pogany, D. / Frohlich, K. et al. | 2011
-
Electrical characterization of high-k based metal-insulator-semiconductor structures with negative resistance effect when using Al~2O~3 and nanolaminated films deposited on p-SiGomez, A. / Castan, H. / Garcia, H. / Duenas, S. / Bailon, L. / Campabadal, F. / Raff, J.M. / Zabala, M. et al. | 2011
-
Fluorine implantation for effective work function control in p-type metal-oxide-semiconductor high-k metal gate stacksFet, A. / Haublein, V. / Bauer, A.J. / Ryssel, H. / Frey, L. et al. | 2011
-
Traps and trapping phenomena and their implications on electrical behavior of high-k capacitor stacksPaskaleva, A. / Lemberger, M. / Atanassova, E. / Bauer, A.J. et al. | 2011
-
Analysis of the effect of germanium preamorphization on interface defects and leakage current for high-k metal-oxide-semiconductor field-effect transistorRoll, G. / Jakschik, S. / Goldbach, M. / Wachowiak, A. / Mikolajick, T. / Frey, L. et al. | 2011
-
Dielectric breakdown in polycrystalline hafnium oxide gate dielectrics investigated by conductive atomic force microscopyIglesias, V. / Porti, M. / Nafria, M. / Aymerich, X. / Dudek, P. / Bersuker, G. et al. | 2011
-
Dielectric layers suitable for high voltage integrated trench capacitorsDorp, J.v. / Erlbacher, T. / Bauer, A.J. / Ryssel, H. / Frey, L. et al. | 2011
-
Gate oxide reliability at the nanoscale evaluated by combining conductive atomic force microscopy and constant voltage stressErlbacher, T. / Yanev, V. / Rommel, M. / Bauer, A.J. / Frey, L. et al. | 2011
-
Atomic-scale engineering of future high-k dynamic random access memory dielectrics: The example of partial Hf substitution by Ti in BaHfO~3Dudek, P. / Lupina, G. / Kozlowski, G. / Zaumseil, P. / Bauer, J. / Fursenko, O. / Dabrowski, J. / Schmidt, R. / Lippert, G. / Mussig, H.-J. et al. | 2011
- 01AC05/1
-
Applicability of molecular beam deposition for the growth of high-k oxidesGrube, Matthias / Martin, Dominik / Weber, Walter M. / Mikolajick, Thomas / Bierwagen, Oliver / Geelhaar, Lutz / Riechert, Henning et al. | 2011
- 01AC07/1
-
Evaluation of the electrical and physical properties of thin calcium titanate high-k insulators for capacitor applicationsKrause, A. / Weber, W. / Jahn, A. / Richter, K. / Pohl, D. / Rellinghaus, B. / Schroeder, U. / Heitmann, J. / Mikolajick, T. et al. | 2011
-
Analysis of leakage current mechanisms in RuO~2-TiO~2-RuO~2 MIM structuresRacko, J. / Mikolasek, M. / Harmatha, L. / Breza, J. / Hudec, B. / Frohlich, K. / Aarik, J. / Tarre, A. / Granzner, R. / Schwierz, F. et al. | 2011
-
Nanostructured resistive memory cells based on 8-nm-thin TiO~2 films deposited by atomic layer depositionKugeler, C. / Zhang, J. / Hoffmann-Eifert, S. / Kim, S.K. / Waser, R. et al. | 2011
-
Pronounced field emission from vertically aligned carbon nanotube blocks and bundlesYilmazoglu, O. / Joshi, R. / Popp, A. / Pavlidis, D. / Schneider, J.J. et al. | 2011
-
Correlation between low threshold emission and C-N bond in nitrogen-doped diamond filmsMasuzawa, T. / Sato, Y. / Kudo, Y. / Saito, I. / Yamada, T. / Koh, A.T.T. / Chua, D.H.C. / Yoshino, T. / Chun, W.J. / Yamasaki, S. et al. | 2011
-
Stabilized electron emission from silicon coated carbon nanotubes for a high-performance electron sourceRyu, J.H. / Bae, N.Y. / Oh, H.M. / Zhou, O. / Jang, J. / Park, K.C. et al. | 2011
-
Effect of interfacial formation on the properties of very long wavelength infrared InAs/GaSb superlatticesHaugan, H.J. / Brown, G.J. / Grazulis, L. et al. | 2011
-
Effect of strain and confinement on the effective mass of holes in InSb quantum wellsGaspe, C.K. / Edirisooriya, M. / Mishima, T.D. / Jayathilaka, P.A.R.D. / Doezema, R.E. / Murphy, S.Q. / Santos, M.B. / Tung, L.C. / Wang, Y.-J. et al. | 2011
- 03C113/1
-
High power 1.25 micrometer InAs quantum dot vertical external-cavity surface-emitting laserAlbrecht, Alexander R. / Hains, Christopher P. / Rotter, Thomas J. / Stintz, Andreas / Malloy, Kevin J. / Balakrishnan, Ganesh / Moloney, Jerome V. et al. | 2011
-
Electrical properties of Er-doped In~0~.~5~3Ga~0~.~4~7AsBurke, P.G. / Lu, H. / Rudawski, N.G. / Stemmer, S. / Gossard, A.C. / Bahk, J.-H. / Bowers, J.E. et al. | 2011
-
Self-aligned inversion-channel In~0~.~2Ga~0~.~8As metal-oxide-semiconductor field-effect transistor with molecular beam epitaxy Al~2O~3/Ga~2O~3(Gd~2O~3) as the gate dielectricChang, W.H. / Chiang, T.H. / Wu, Y.D. / Hong, M. / Lin, C.A. / Kwo, J. et al. | 2011
- 04D104/1
-
Atomic structure and optical properties of InAs submonolayer depositions in GaAsLenz, Andrea / Eisele, Holger / Becker, Jonas / Schulze, Jan-Hindrik / Germann, Tim D. / Luckert, Franziska / Poetschke, Konstantin / Lenz, Ernst / Ivanova, Lena / Strittmatter, Andre et al. | 2011
-
Surface plasmon polaritons assisted transmission in periodic superconducting gratingLee, H.-M. / Shyu, J.-H. / Horng, L. / Wu, J.-C. et al. | 2011
- 04D108/1
-
Optical study of sol-gel processed ZrO2/Si films by spectroscopic ellipsometryYoon, J.J. / Lee, S.M. / Kim, T.J. / Hwang, S.Y. / Diware, M. / Kim, Y.D. / Hwang, S.M. / Joo, J. et al. | 2011
-
Crystallization kinetics and x-ray photoelectron spectroscopy of Ga~2TeSb~7 thin filmChang, P.-C. / Chang, S.-C. / Chin, T.-S. et al. | 2011
-
Model scandate cathodes investigated by thermionic-emission microscopyKordesch, M.E. / Vaughn, J.M. / Wan, C. / Jamison, K.D. et al. | 2011
-
Structural change of ion-induced carbon nanofibers by electron current flowZamri, M. / Ghosh, P. / Hayashi, A. / Hayashi, Y. / Tanemura, M. / Sasase, M. et al. | 2011
- 010601
-
Design and focused ion beam fabrication of single crystal diamond nanobeam cavitiesBabinec, T.M. / Choy, J.T. / Smith, K.J.M. / Khan, M. / Loncar, M. et al. | 2011
- 010602
-
Effects of molecular functionalization sequence on mesoporous silica film propertiesSingh, B. / Garg, S. / Jain, A. / Moore, R. / Ramanath, G. et al. | 2011
- 010801
-
Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabricationOehrlein, G.S. / Phaneuf, R.J. / Graves, D.B. et al. | 2011
- 011001
-
Plasma etching of polydimethylsiloxane: Effects from process gas composition and dc self-bias voltageBjomsen, G. / Roots, J. et al. | 2011
- 011002
-
Formation of silicon grass: Nanomasking by carbon clusters in cyclic deep reactive ion etchingLeopold, S. / Kremin, C. / Ulbrich, A. / Krischok, S. / Hoffmann, M. et al. | 2011
- 011003
-
Enhanced outcoupling of electroluminescence from ZnS:ErF~3 thin films by a photonic crystalLaw, E. / Davidson, M. / Shepherd, N. / Holloway, P.H. et al. | 2011
- 011004
-
Deposit profiles characterized by the seed layer in Cu pulse-reverse plating on a patterned substrateCho, S.K. / Kim, M.J. / Lim, T. / Kwon, O.J. / Kim, J.J. et al. | 2011
- 011005
-
Nanoimprint replication of nonplanar nanostructure fabricated by focused-ion-beam chemical vapor depositionKang, Y. / Omoto, S. / Nakai, Y. / Okada, M. / Kanda, K. / Haruyama, Y. / Matsui, S. et al. | 2011
- 011006
-
Application of kernel convolution for complementing source mask optimizationMiller, M.A. / Yamazoe, K. / Neureuther, A.R. et al. | 2011
- 011007
-
Investigation of the radiation-induced thermal flexure of an x-ray lithography mask during a tilted exposureNazmov, V. / Reznikova, E. / Mohr, J. et al. | 2011
- 011008
-
Fabrication of flexible ultracapacitor/galvanic cell hybrids using advanced nanoparticle coating technologyPeckerar, M. / Dornajafi, M. / Dilli, Z. / Goldsman, N. / Ngu, Y. / Boerger, B. / Van Wyck, N. / Gravelin, J. / Grenon, B. / Proctor, R.B. et al. | 2011
- 011009
-
Dual-sputtered process sensitivity of HfGdO charge-trapping layer in SONOS-type nonvolatile memoryWang, J.-C. / Chou, P.-C. / Lai, C.-S. / Liu, L.-C. et al. | 2011
- 011010
-
Accuracy of thickness measurement for Ge epilayers grown on SiGe/Ge/Si(100) heterostructure by x-ray diffraction and reflectivityLiu, X.-C. / Myronov, M. / Dobbie, A. / Nguyen, V.H. / Leadley, D.R. et al. | 2011
- 011011
-
Improvement of contact resistance between carbon nanotubes and metal electrodes for high performance electronicsSong, Y. / Kang, S.J. et al. | 2011
- 011012
-
13 nm high-efficiency nickel-germanium soft x-ray zone platesReinspach, J. / Lindblom, M. / Bertilson, M. / von Hofsten, O. / Hertz, H.M. / Holmberg, A. et al. | 2011
- 011013
-
Mechanistic considerations of low temperature hydrogen-based plasma etching of CuWu, F. / Levitin, G. / Hess, D.W. et al. | 2011
- 011014
-
Damage immune field effect transistors with vacuum gate dielectricHan, J.-W. / Ahn, J.-H. / Choi, Y.-K. et al. | 2011
- 011015
-
Compact holographic lithography system for photonic-crystal structureHsieh, M.-L. / Lin, S.-Y. et al. | 2011
- 011016
-
High verticality InP/InGaAsP etching in Cl~2/H~2/Ar inductively coupled plasma for photonic integrated circuitsParker, J.S. / Norberg, E.J. / Guzzon, R.S. / Nicholes, S.C. / Coldren, L.A. et al. | 2011
- 011017
-
Transparent semiconducting Nb-doped anatase TiO~2 films deposited by helicon-wave-excited-plasma sputteringFouda, A. / Hazu, K. / Haemori, M. / Nakayama, T. / Tanaka, A. / Chichibu, S.F. et al. | 2011
- 011018
-
Residue growth on metallic hard mask after dielectric etching in fluorocarbon based plasmas. II. SolutionsPosseme, N. / Bouyssou, R. / Chevolleau, T. / David, T. / Arnal, V. / Darnon, M. / Brun, P. / Verove, C. / Joubert, O. et al. | 2011
- 011019
-
Thick benzocyclobutene etching using high density SF~6/O~2 plasmasChen, Q. / Zhang, D. / Tan, Z. / Wang, Z. / Liu, L. / Lu, J.-Q. et al. | 2011
- 011020
-
Influence of pH and abrasive concentration on polishing rate of amorphous Ge~2Sb~2Te~5 film in chemical mechanical polishingZhang, Z. / Liu, W. / Song, Z. et al. | 2011
- 011021
-
Molecularly selective nanopatterns using nanoimprint lithography: A label-free sensor architectureForchheimer, D. / Luo, G. / Ye, L. / Montelius, L. et al. | 2011
- 011022
-
Compensation methods for buried defects in extreme ultraviolet lithography masksClifford, C.H. / Chan, T.T. / Neureuther, A.R. et al. | 2011
- 011023
-
Scalable nanoimprint patterning of thin graphitic oxide sheets and in situ reductionLee, Y.-Y. / Chong, K.S.L. / Goh, S.-H. / Ng, A.M.H. / Kunnavakkam, M.V. / Hee, C.-L. / Xu, Y. / Tantang, H. / Su, C.-Y. / Li, L.-J. et al. | 2011
- 011024
-
Poly-Si/TiN/Mo/HfO~2 gate stack etching in high-density plasmasLuere, O. / Pargon, E. / Vallier, L. / Joubert, O. et al. | 2011
- 011025
-
Interconnected alternating-current light-emitting diode arrays isolated by laser micromachiningMak, G.Y. / Lam, E.Y. / Choi, H.W. et al. | 2011
- 011026
-
Characterization of damage induced by FIB etch and tungsten deposition in high aspect ratio viasDrezner, Y. / Fishman, D. / Greenzweig, Y. / Raveh, A. et al. | 2011
- 011027
-
Nanoscale depth-resolved electronic properties of SiO~2/SiO~x/SiO~2 for device-tolerant electronicsKatz, E.J. / Zhang, Z. / Hughes, H.L. / Chung, K.-B. / Lucovsky, G. / Brillson, L.J. et al. | 2011
- 011028
-
Etch mechanisms of silicon gate structures patterned in SF~6/CH~2F~2/Ar inductively coupled plasmasLuere, O. / Pargon, E. / Vallier, L. / Pelissier, B. / Joubert, O. et al. | 2011
- 011029
-
Control of semiconductor quantum dot nanostructures: Variants of Si~xGe~1~-~x/Si quantum dot moleculesMurphy, J.K. / Hull, R. / Pyle, D. / Wang, H. / Gray, J. / Floro, J. et al. | 2011
- 011030
-
Inhibition of carbon growth and removal of carbon deposits on extreme ultraviolet lithography mirrors by extreme ultraviolet irradiation in the presence of water, oxygen, or oxygen/ozone mixturesNiibe, M. / Koida, K. / Kakutani, Y. et al. | 2011
- 011031
-
Quantitative simulation of ion-beam induced deposition of nanostructuresEbm, C. / Hobler, G. / Waid, S. / Wanzenboeck, H.D. et al. | 2011
- 011032
-
Performance characteristics of GaN-based light-emitting diodes fabricated with AgNi, AgCu, and AgAl-alloy reflectorsKim, H. / Lee, S.-N. et al. | 2011
- 011033
-
Vision-based approach to automated analysis of structure boundaries in scanning electron microscope imagesKim, N.H. / Lee, S.-Y. et al. | 2011
- 011034
-
Fabrication of trench nanostructures for extreme ultraviolet lithography masks by atomic force microscope lithographyKwon, G. / Ko, K. / Lee, H. / Lim, W. / Yeom, G.Y. / Lee, S. / Ahn, J. et al. | 2011
- 011035
-
Path to achieve sub-10-nm half-pitch using electron beam lithographyA. Tavakkoli, K.G. / Piramanayagam, S.N. / Ranjbar, M. / Sbiaa, R. / Chong, T.C. et al. | 2011
- 020601
-
High-aspect-ratio inductively coupled plasma etching of InP using SiH~4/Cl~2: Avoiding the effect of electrode coverplate materialGatilova, L. / Bouchoule, S. / Guilet, S. / Patriarche, G. et al. | 2011
- 020602
-
Fabrication of cone-shaped subwavelength structures by utilizing a confined convective self-assembly technique and inductively coupled-plasma reactive-ion etchingKim, D.-S. / Park, M.-S. / Jang, J.-H. et al. | 2011
- 020603
-
Finite-element simulations of the effect of device design on channel temperature for AlGaN/GaN high electron mobility transistorsDouglas, E.A. / Ren, F. / Pearton, S.J. et al. | 2011
- 020604
-
Quantitative study on the enhancement of sidewall coverage of sputter-deposited film by partially tapering the sidewall of via holesKim, C.-G. / Lee, W.-J. et al. | 2011
- 020605
-
Modeling of tunneling through a three-layer gate stack with/without a quantum wellMazurak, A. / Walczak, J. / Majkusiak, B. et al. | 2011
- 021001
-
Collective buckling of line arrays created by soft lithographyChen, Z. / Yang, J. / Tan, L. et al. | 2011
- 021002
-
Annealing temperature dependence of Ohmic contact resistance and morphology on InAlN/GaN high electron mobility transistor structuresLo, C.-F. / Liu, L. / Chang, C.Y. / Ren, F. / Craciun, V. / Pearton, S.J. / Heo, Y.W. / Laboutin, O. / Johnson, J.W. et al. | 2011
- 021003
-
Circular apertures for contact hole patterning in 193 nm immersion lithographyTay, C.J. / Quan, C. / Ling, M.L. / Chua, G.S. / Tan, S.K. / Lin, Q. et al. | 2011
- 021004
-
Emission enhancement from nonpolar a-plane III-nitride nanopillarKim, B.-J. / Jung, Y. / Mastro, M.A. / Hite, J. / Nepal, N. / Eddy, C.R. / Kim, J. et al. | 2011
- 021005
-
Reduction of structural defects in a-plane GaN epitaxy by use of periodic hemispherical patterns in r-plane sapphire substratesWu, Z.H. / Sun, Y.Q. / Yin, J. / Fang, Y.-Y. / Dai, J.N. / Chen, C.Q. / Wei, Q.Y. / Li, T. / Sun, K.W. / Fischer, A.M. et al. | 2011
- 021006
-
Inductively coupled plasma etching of high aspect ratio two-dimensional photonic crystals in Al-rich AlGaAs and AlGaAsSbLarrue, A. / Belharet, D. / Dubreuil, P. / Bonnefont, S. / Gauthier-Lafaye, O. / Monmayrant, A. / Lozes-Dupuy, F. / Moumdji, S. et al. | 2011
- 021007
-
Fabrication of sub-100-nm metal-oxide-semiconductor field-effect transistors with asymmetrical source/drain using I-line double patterning techniqueLin, H.-C. / Tsai, T.-I. / Chao, T.-S. / Jian, M.-F. / Huang, T.-Y. et al. | 2011
- 021008
-
Low temperature processing of indium-tin-zinc oxide channel layers in fabricating thin-film transistorsLee, K.C. / Jo, K.-M. / Sung, S.-Y. / Lee, J.-H. / Kim, J.-J. / Jeong, B.-S. / Pearton, S.J. / Norton, D.P. / Heo, Y.-W. et al. | 2011
- 021009
-
Radial compression studies of WS~2 nanotubes in the elastic regimeKalfon-Cohen, E. / Goldbart, O. / Schreiber, R. / Cohen, S.R. / Barlam, D. / Lorenz, T. / Enyashin, A. / Seifert, G. et al. | 2011
- 021010
-
Spectroscopic ellipsometry on thin titanium oxide layers grown on titanium by plasma oxidationDroulers, G. / Beaumont, A. / Beauvais, J. / Drouin, D. et al. | 2011
- 021011
-
Combination of thermodynamic model and precursor state for As and Sb incorporation behavior in GaAsSb/GaAs multiple-quantum wellsLin, J.-M. / Chou, L.-C. / Lin, H.-H. et al. | 2011
- 021012
-
SiN membranes with submicrometer hole arrays patterned by wafer-scale nanosphere lithographyKlein, M.J.K. / Montagne, F. / Blondiaux, N. / Vazquez-Mena, O. / Heinzelmann, H. / Pugin, R. / Brugger, J. / Savu, V. et al. | 2011
- 021013
-
Fabrication of metal nanowires by ion-beam irradiation of oxides through high aspect ratio resist masksGurovich, B.A. / Prikhod ko, K.E. / Taldenkov, A.N. / Chumakov, N.K. / Fedorov, G.E. / Yakubovsky, A.Y. / Bogdanov, A.L. et al. | 2011
- 021014
-
Silicon nanostructure solar cells with excellent photon harvestingChen, C. / Jia, R. / Yue, H. / Li, H. / Liu, X. / Ye, T. / Kasai, S. / Tamotsu, H. / Wu, N. / Wang, S. et al. | 2011
- 021015
-
UV-based nanoimprinting lithography with a fluorinated flexible stampZhu, Z. / Li, Q. / Zhang, L. / Chen, M. / Fan, S. et al. | 2011
- 021016
-
Formation of 10-μm-level patterned organic thin film using microthermal evaporationChoi, J.H. / Zoulkarneev, A. / Noh, T.Y. / Lee, Y.G. / Kim, S.I. / Park, S.S. / Baik, C.W. / Choi, B.L. / Kim, M. / Yi, G.-C. et al. | 2011
- 021016/1
-
Formation of 10-micrometer-level patterned organic thin film using microthermal evaporationChoi, Jun-Hee / Zoulkarneev, Andrei / Noh, Tae-Yong / Lee, Young-Gu / Kim, Sun-Il / Park, Sung-Soo / Baik, Chan-Wook / Choi, Byoung-Lyong / Kim, Miyoung / Yi, Gyu-Chul et al. | 2011
- 021017
-
Determination of the energy states of the donor acceptor decay emission in silicon rich oxide prepared by low-pressure chemical vapor depositionLopez-Estopier, R. / Aceves-Mijares, M. / Yu, Z. / Falcony, C. et al. | 2011
- 021018
-
Formation of silicon nanocrystals embedded in high- kappa dielectric HfO~2 and their application for charge storageLi, W. / Jia, R. / Chen, C. / Li, H. / Liu, X. / Yue, H. / Ding, W. / Ye, T. / Kasai, S. / Hashizume, T. et al. | 2011
- 021019
-
Novel approach for the synthesis of rutile titania nanotubes at very low temperatureSeo, H.-K. / Elliott, C.M. / Shin, H.-S. / Suh, E.-K. et al. | 2011
- 021020
-
Direct patterning of coplanar polyethylene glycol alkylsilane monolayers by deep-ultraviolet photolithography as a general method for high fidelity, long-term cell patterning and cultureWilson, K. / Stancescu, M. / Das, M. / Rumsey, J. / Hickman, J. et al. | 2011
- 021021
-
Field-plate design for edge termination in silicon carbide high-power Schottky diodesMohammad, S.N. / Kub, F.J. / Eddy, C.R. et al. | 2011
- 021201
-
Effects of InGaN/GaN superlattice absorption layers on the structural and optical properties of InGaN solar cellsTsai, C.-L. / Fan, G.-C. / Lee, Y.-S. et al. | 2011
- 021202
-
E-beam invasiveness on 65 nm complementary metal-oxide semiconductor circuitryGreenzweig, Y. / Drezner, Y. / Raveh, A. / Sidorov, O. / Livengood, R.H. et al. | 2011
- 021203
-
Effects of channel dimensions on performance of a-InGaZnO~4 thin-film transistorsHeo, Y.-W. / Cho, K.-M. / Sun, S.-Y. / Kim, S.-Y. / Lee, J.-H. / Kim, J.-J. / Norton, D.P. / Pearton, S.J. et al. | 2011
- 021204
-
Rapid fabrication of bilayer graphene devices using direct laser writing photolithographyLeon, J.A. / Alves, E.S. / Elias, D.C. / Brant, J.C. / Barbosa, T.C. / Malard, L.M. / Pimenta, M.A. / Ribeiro, G.M. / Plentz, F. et al. | 2011
- 021205
-
Photonic crystal structures with ultrahigh aspect ratio in lithium niobate fabricated by focused ion beam millingSi, G. / Danner, A.J. / Teo, S.L. / Teo, E.J. / Teng, J. / Bettiol, A.A. et al. | 2011
- 021206
-
Growth model for plasma-assisted molecular beam epitaxy of N-polar and Ga-polar In~xGa~1~-~xNNath, D.N. / Gur, E. / Ringel, S.A. / Rajan, S. et al. | 2011
- 021207
-
Strong enhancement of ultraviolet emission from ZnO films by V implantationKim, C.O. / Shin, D.H. / Choi, S.-H. / Belay, K. / Elliman, R.G. et al. | 2011
- 021208
-
Impacts of anisotropic tilt mosaics of state-of-the-art m-plane freestanding GaN substrates on the structural and luminescent properties of m-plane Al~xGa~1~-~xN epilayersHazu, K. / Kagaya, M. / Hoshi, T. / Onuma, T. / Chichibu, S.F. et al. | 2011
- 021209
-
Mechanism of V~F~B/V~T~H shift in Dysprosium incorporated HfO~2 gate dielectric n-Type Metal-Oxide-Semiconductor devicesLee, T. / Choi, K. / Ando, T. / Park, D.-G. / Gribelyuk, M.A. / Kwon, U. / Banerjee, S.K. et al. | 2011
- 021401
-
Seal and encapsulate cavities for complementary metal-oxide-semiconductor microelectromechanical system thermoelectric power generatorsXie, J. / Lee, C. / Wang, M.-F. / Feng, H. et al. | 2011
- 021601
-
Increased pattern transfer fidelity of ZEP 520A during reactive ion etching through chemical modifications by additional dosing of the electron beam resistCzaplewski, D.A. / Ocola, L.E. et al. | 2011
- 021602
-
High-quality global hydrogen silsequioxane contact planarization for nanoimprint lithographyBuyukkose, S. / Vratzov, B. / van der Wiel, W.G. et al. | 2011
- 021603
-
Pressureless nanoimprinting of anatase TiO~2 precursor filmsRichmond, D.A. / Zhang, Q. / Cao, G. / Weiss, D.N. et al. | 2011
- 021604
-
Enhanced resolution and groove-width simulation in cold development of ZEP520AOkada, T. / Fujimori, J. / Aida, M. / Fujimura, M. / Yoshizawa, T. / Katsumura, M. / Iida, T. et al. | 2011
- 021801
-
Formation of single-domain homogeneous Au nanoparticle monolayer at the water/oil interface and its application to surface-enhanced Raman scatteringKim, S.I. / Pradal, F. / Song, H. / Kim, S. et al. | 2011
- 021802
-
Image potential of C~6~0: A density functional theory calculationHuang, H. / Li, Z. / Wang, W. / Chen, G. et al. | 2011
- 021803
-
Exact solutions for the field electron emission achieved from a flat metal using the standard Fowler-Nordheim equation with a correction factor that accounts for the electric field, the work function, and the Fermi energy of the emitterMayer, A. et al. | 2011
- 022201
-
Electrical and structural properties of ultrathin SiON films on Si prepared by plasma nitridationHourdakis, E. / Nassiopoulou, A.G. / Parisini, A. / Reading, M.A. / van den Berg, J.A. / Sygellou, L. / Ladas, S. / Petrik, P. / Nutsch, A. / Wolf, M. et al. | 2011
- 022401
-
Laboratory pentacene and parylene evaporation systems for fabricating organic thin film devicesJia, Z. / Lee, V.W. / Hsu, Y.-J. / Kymissis, I. et al. | 2011
- 023001
-
Photocapacitance spectroscopy study of deep-level defects in freestanding n-GaN substrates using transparent conductive polymer Schottky contactsNakano, Y. / Lozac h, M. / Matsuki, N. / Sakoda, K. / Sumiya, M. et al. | 2011
- 030601
-
Dry etching of GaAs in asymmetric bipolar pulsed dc BCl~3 plasmasChoi, K.H. / Lee, S.H. / Park, J.H. / Sohn, K.Y. / Lee, J.W. / Pearton, S.J. et al. | 2011
- 030602
-
Transfer of carbon nanosheet films to nongrowth, zero thermal budget substratesQuinlan, R.A. / Javier, A. / Foos, E.E. / Buckley, L. / Zhu, M. / Hou, K. / Widenkvist, E. / Drees, M. / Jansson, U. / Holloway, B.C. et al. | 2011
- 030603
-
Growth and optical properties of InP nanowires formed by Au-assisted metalorganic chemical vapor deposition: Effect of growth temperatureGuo, J. / Huang, H. / Ren, X. / Yan, X. / Cai, S. / Wang, W. / Wang, Q. / Huang, Y. / Zhang, X. et al. | 2011
- 030604
-
Surface stiffness modification by e-beam irradiation for stem cell growth controlLu, B.-R. / Lanniel, M. / Alexandar, M. / Liu, R. / Chen, Y. / Huq, E. et al. | 2011
- 030605
-
Nucleation and growth characteristics of electroplated Cu on plasma enhanced atomic layer deposition-grown RuTaN direct plate barriersChakraborty, T. / Greenslit, D. / Eisenbraun, E.T. et al. | 2011
- 031201
-
Improvement in the performance of ZnO thin film transistors by using ultralow-pressure sputteringHuh, M.S. / Won, S.-J. / Yang, B.S. / Oh, S. / Oh, M.S. / Jeong, J.K. / Kim, H.J. et al. | 2011
- 031202
-
Novel postetch process to realize high quality photonic crystals in InPShahid, N. / Naureen, S. / Li, M.Y. / Swillo, M. / Anand, S. et al. | 2011
- 031203
-
Studies on Al/ZrO~2/GaAs metal-oxide-semiconductor capacitors and determination of its electrical parameters in the frequency range of 10 kHz-1 MHzKundu, S. / Roy, S. / Banerji, P. / Chakraborty, S. / Shripathi, T. et al. | 2011
- 031204
-
Cl~2/BCl~3/Ar plasma etching and in situ oxygen plasma treatment for leakage current suppression in AlGaN/GaN high-electron mobility transistorsKim, H. / Schuette, M.L. / Lu, W. et al. | 2011
- 031205
-
Fabrication of InAlAs/InGaAsSb/InGaAs double heterojunction bipolar transistorsLo, C.F. / Ren, F. / Chang, C.Y. / Pearton, S.J. / Chen, S.-H. / Chang, C.-M. / Wang, S.-Y. / Chyi, J.-I. / Kravchenko, I.I. et al. | 2011
- 031206
-
Fabrication of high-aspect-ratio lightpipesYe, W.N. / Duane, P. / Wober, M. / Crozier, K.B. et al. | 2011
- 031207
-
Comprehensive comparison of electrical and reliability characteristics of various copper barrier filmsCheng, Y.-L. / Wu, J. / Chiu, T.-J. / Chen, S.-A. / Wang, Y.-L. et al. | 2011
- 031208
-
Antireflection properties and solar cell application of silicon nanostructuresYue, H. / Jia, R. / Chen, C. / Ding, W. / Meng, Y. / Wu, D. / Chen, W. / Liu, X. / Jin, Z. et al. | 2011
- 031209
-
Analysis of charging effects on highly resistive materials under electron irradiation by using transient-absorbed-current methodTsuno, N. / Ominami, Y. / Ohta, H. / Shinada, H. / Makino, H. / Kimura, Y. et al. | 2011
- 031210
-
Growth and transport studies of BaMn~2As~2 thin filmsDung, D.D. / Feng, W. / Cho, S. et al. | 2011
- 031211
-
Effects of silicon nitride passivation on isolation-blocking voltage in algan/gan high electron mobility transistorsLo, C.-F. / Kang, T.S. / Liu, L. / Ren, F. / Pearton, S.J. / Kim, J. / Jang, S. / Laboutin, O. / Cao, Y. / Johnson, J.W. et al. | 2011
- 031212
-
Ultranarrow bandpass filter with wide nontransmission region composed of randomly distributed layer thicknessesChen, G. / Yu, H. et al. | 2011
- 031213
-
Ultrahigh luminescence extraction via the monolithic integration of a light emitting active region with a semiconductor hemisphereWu, S.-N. / Yu, S.-Q. / Ding, D. / Johnson, S.R. / Zhang, Y.-H. et al. | 2011
- 031401
-
Cryogenic thermal simulator for testing low temperature thermophotovoltaic cellsDeMeo, D.F. / Vandervelde, T.E. et al. | 2011
- 031801
-
Nanostructure and magnetic properties of c-axis oriented L1~0-FePt nanoparticles and nanocrystalline films on polycrystalline TiN underlayersTsuji, Y. / Noda, S. / Nakamura, S. et al. | 2011
- 031802
-
Electric potential of a metallic nanowall between cathode and anode planesQin, X. / Wang, W. / Li, Z. et al. | 2011
- 031803
-
Effects of segregation strength and an external field on the thermal line edge and line width roughness spectra of a diblock copolymer resistBosse, A.W. et al. | 2011
- 031804
-
Polarity controlled InAs{111} films grown on Si(111)Ohtake, A. / Mitsuishi, K. et al. | 2011
- 031805
-
Fabrication of ordered Au nanodot arrays utilizing anodic aluminum oxide templates formed on Si substrateKwon, N. / Kim, N. / Yeon, J. / Yeom, G. / Chung, I. et al. | 2011
- 032001
-
Rapid serial prototyping of magnet-tipped attonewton-sensitivity cantilevers by focused ion beam manipulationLongenecker, J.G. / Moore, E.W. / Marohn, J.A. et al. | 2011
- 032201
-
Effect of UV wavelength on the hardening process of porogen-containing and porogen-free ultralow-k plasma-enhanced chemical vapor deposition dielectricsUrbanowicz, A.M. / Vanstreels, K. / Verdonck, P. / Van Besien, E. / Christos, T. / Shamiryan, D. / De Gendt, S. / Baklanov, M.R. et al. | 2011
- 032202
-
Epitaxial growth of (100)-oriented ceria film on c-plane GaN/Al~2O~3 using YSZ/TiO~2 buffer layers by pulse laser molecular beam epitaxyZhu, J. / Jing, J. / Luo, W. / Zhang, Y. / Li, Y. et al. | 2011
- 032203
-
Technology computer-aided design simulation study for a strained InGaAs channel n-type metal-oxide-semiconductor field-effect transistor with a high-k dielectric oxide layer and a metal gate electrodeChang, S.-T. / Lee, C.-C. / Sun, P.-H. et al. | 2011
- 032204
-
Effect of source field plate on the characteristics of off-state, step-stressed AlGaN/GaN high electron mobility transistorsLiu, L. / Kang, T.S. / Cullen, D.A. / Zhou, L. / Kim, J. / Chang, C.-Y. / Douglas, E.A. / Jang, S. / Smith, D.J. / Pearton, S.J. et al. | 2011
- 032205
-
Integration of thin film transistors and vertical thin film field emitter arrays using ion-induced bendingYoshida, T. / Nishi, T. / Nagao, M. / Shimizu, T. / Kanemaru, S. et al. | 2011
- 032206
-
Increasing the speed of solid-state nanoporesWaggoner, P.S. / Kuan, A.T. / Polonsky, S. / Peng, H. / Rossnagel, S.M. et al. | 2011
- 032207
-
Phase change random access memory featuring silicide metal contact and high-k interlayer for operation power reductionFang, L.W.-W. / Zhao, R. / Lim, K.-G. / Yang, H. / Shi, L. / Chong, T.-C. / Yeo, Y.-C. et al. | 2011
- 032208
-
Quantitative depth profiling of ultrathin high-k stacks with full spectrum time of flight-secondary ion mass spectrometryPy, M. / Barnes, J.-P. / Boujamaa, R. / Gros-Jean, M. / Nakajima, K. / Kimura, K. / Roukoss, C. / Pelissier, B. / Gambacorti, N. et al. | 2011
- 032209
-
Self-aligned contact metallization technology for III-V metal-oxide-semiconductor field effect transistorsZhang, X. / Guo, H. / Lin, H.-Y. / Cheng, C.-C. / Ko, C.-H. / Wann, C.H. / Luo, G.-L. / Chang, C.-Y. / Chien, C.-H. / Han, Z.-Y. et al. | 2011
- 032210
-
Characteristics of PMN-PZT ferroelectric electron emitters with three-dimensional emission sites formed by chemical etchingSugiyama, T. / Ohwada, I. / Nanataki, T. / Moriguchi, Y. / Eryu, O. / Ichimura, M. / Gomi, M. et al. | 2011
- 032211
-
High performance platinum-silicided p-type Schottky barrier metal-oxide-semiconductor field-effect transistors scaled down to 30 nmJun, M. / Park, Y. / Hyun, Y. / Zyung, T. / Jang, M. / Choi, S.-J. et al. | 2011
- 033001
-
Gradual pressure release for reliable nanoimprint lithographyWi, J.-S. / Wilson, R.J. / White, R.M. / Wang, S.X. et al. | 2011
- 040601
-
Effect of indium concentration of InGaAs channel metal-oxide-semiconductor field-effect transistors with atomic layer deposited gate dielectricXue, F. / Zhao, H. / Chen, Y.-T. / Wang, Y. / Zhou, F. / Lee, J.C. et al. | 2011
- 040601/1
-
Effect of indium concentration on InGaAs channel metal-oxide-semiconductor field-effect transistors with atomic layer deposited gate dielectricXue, Fei / Zhao, Han / Chen, Yen-Ting / Wang, Yanzhen / Zhou, Fei / Lee, Jack C. et al. | 2011
- 040602
-
Effect of sputtering on ferromagnet-oxide-silicon spin injection contactsGundapaneni, S. / Ganguly, S. / Roy, W.V. / Kaushal, S. / Sugishima, K. et al. | 2011
- 040603
-
Influence of gate metallization processes on the electrical characteristics of high-k/In~0~.~5~3Ga~0~.~4~7As interfacesBurek, G.J. / Hwang, Y. / Carter, A.D. / Chobpattana, V. / Law, J.J.M. / Mitchell, W.J. / Thibeault, B. / Stemmer, S. / Rodwell, M.J.W. et al. | 2011
- 040801
-
Three-dimensional patterning of nanostructures using atomic force microscopesTseng, A.A. et al. | 2011
- 041001
-
Cryogenic shallow reactive ion etch process for profile control on silicon on insulator platformBakhtazad, A. / Huo, X. / Sabarinathan, J. et al. | 2011
- 041002
-
Optimizing photon sieves to approach Fresnel diffraction limit via pixel-based inverse lithographyCheng, M. / Zhou, C. et al. | 2011
- 041003
-
Enhanced field emission from carbon nanotubes by electroplating of silver nanoparticlesChen, L. / Mi, Y. / Ni, H. / Ji, Z. / Xi, J. / Pi, X. / Zhao, H. et al. | 2011
- 041004
-
Experimental demonstration of hybrid CMOS-single electron transistor circuitsPrager, A.A. / George, H.C. / Orlov, A.O. / Snider, G.L. et al. | 2011
- 041005
-
Image noise in helium lithographyRoy, A. / Craver, B. / Ocola, L.E. / Wolfe, J.C. et al. | 2011
- 041201
-
Deep electron and hole traps in neutron transmutation doped n-GaNLee, I.-H. / Polyakov, A.Y. / Smirnov, N.B. / Govorkov, A.V. / Kozhukhova, E.A. / Kolin, N.G. / Boiko, V.M. / Korulin, A.V. / Pearton, S.J. et al. | 2011
- 041202
-
Thermal simulation of laser lift-off AlGaN/GaN high electron mobility transistors mounted on AlN substratesKang, T.S. / Lo, C.F. / Liu, L. / Finch, R. / Ren, F. / Wang, X.T. / Douglas, E. / Pearton, S.J. / Hung, S.T. / Chang, C.-J. et al. | 2011
- 041203
-
Effect of oxygen plasma-induced current blocking on the performance of GaN-based vertical light-emitting diodesLee, S.Y. / Choi, K.K. / Jeong, H.H. / Kim, E.J. / Song, J.O. / Jeon, J.-W. / Seong, T.-Y. et al. | 2011
- 041204
-
Perforated (In)GaSb quantum wells on GaSb substrates through the use of As~2 based in situ etchesAhirwar, P. / Clark, S.P.R. / Patel, V. / Rotter, T.J. / Hains, C. / Albrecht, A. / Dawson, L.R. / Balakrishnan, G. et al. | 2011
- 041205
-
Comparison of surface photovoltage behavior for n-type versus p-type GaNFoussekis, M. / Baski, A.A. / Reshchikov, M.A. et al. | 2011
- 041206
-
Schottky-barrier height modulation of metal/In~0~.~5~3Ga~0~.~4~7As interfaces by insertion of atomic-layer deposited ultrathin Al~2O~3Wang, R. / Xu, M. / Ye, P.D. / Huang, R. et al. | 2011
- 041207
-
Heteroepitaxy of SrTiO~3 thin films on Si (001) using different growth strategies: Toward substratelike qualityNiu, G. / Vilquin, B. / Penuelas, J. / Botella, C. / Hollinger, G. / Saint-Girons, G. et al. | 2011
- 041208
-
Metal electrode influence on the wet selective etching of GaAs/AlGaAsJie, W. / Qin, H. / Xiao-Hong, Y. / Xiu-Ping, W. / Hai-Qiao, N. / Ji-Fang, H. et al. | 2011
- 041209
-
Electrical characteristics of buried-Pt Schottky contacts on thin InP/InAlAs heterostructuresShin, S.H. / Song, J.-I. / Jang, J.-H. / Park, S.-D. / Bae, J.-W. / Yeom, G.-Y. / Kim, T.-W. et al. | 2011
- 041210
-
Interface stability in stressed solid-phase epitaxial growthMorarka, S. / Jin, S. / Rudawski, N.G. / Jones, K.S. / Law, M.E. / Elliman, R.G. et al. | 2011
- 041601
-
Residual-layer-free printing by selective filling of self-assembled monolayer-treated moldHong, F.C.-N. / Kao, Y.-C. et al. | 2011
- 041602
-
Improved oxidation resistance of Ru/Si capping layer for extreme ultraviolet lithography reflectorPark, J.Y. / Belau, L. / Seo, H. / Somorjai, G.A. et al. | 2011
- 041603
-
Deep UV patterning of 3-amino-propyl-triethoxy-silane self-assembled molecular layers on aluminaSamantaray, C.B. / Hastings, J.T. et al. | 2011
- 041604
-
On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resistsBruce, R.L. / Weilnboeck, F. / Lin, T. / Phaneuf, R.J. / Oehrlein, G.S. / Long, B.K. / Wilson, C.G. / Alizadeh, A. et al. | 2011
- 041605
-
Functionalization dependence of the electron beam sensitivity for a conformationally immobile calix[6]arene resistSpencer, G. / Ralls, D. / Wolfe, S. / Blanda, M. / Bandyopadhyay, A. et al. | 2011
- 041606
-
Influence of distorted electric field distribution on microstructure formation in the electrohydrodynamic patterning processTian, H. / Shao, J. / Ding, Y. / Li, X. / Liu, H. et al. | 2011
- 041607
-
In situ beam drift detection using a two-dimensional electron-beam position monitoring system for multiple-electron-beam-direct-write lithographyChen, S.-Y. / Tsai, K.-Y. / Ng, P.C.W. / Ng, H.-T. / Liu, C.-H. / Shen, Y.-T. / Kuan, C.-H. / Chen, Y.-Y. / Kuo, Y.-H. / Wu, C.-J. et al. | 2011
- 041801
-
Crystallinity-retaining removal of germanium by direct-write focused electron beam induced etchingRoediger, P. / Wanzenboeck, H.D. / Hochleitner, G. / Bertagnolli, E. et al. | 2011
- 041802
-
Classical and quantum responsivities of geometrically asymmetric metal-vacuum-metal junctions used for the rectification of infrared and optical radiationsMayer, A. / Chung, M.S. / Lerner, P.B. / Weiss, B.L. / Miskovsky, N.M. / Cutler, P.H. et al. | 2011
- 041803
-
Monte Carlo simulation of electron scattering and secondary electron emission in individual multiwalled carbon nanotubes: A discrete-energy-loss approachAlam, M.K. / Nojeh, A. et al. | 2011
- 041804
-
Streamlined inexpensive integration of a growth facility and scanning tunneling microscope for in-situ characterizationXu, P. / Qi, D. / Barber, S.D. / Cook, C.T. / Ackerman, M.L. / Thibado, P.M. et al. | 2011
- 041805
-
Electrical and thermal characterization of carbon nanotube filmsGaillard, M. / Mbitsi, H. / Petit, A. / Amin-Chalhoub, E. / Boulmer-Leborgne, C. / Semmar, N. / Millon, E. / Mathias, J. / Kouassi, S. et al. | 2011
- 041806
-
Controlled formation of atomic step morphology on micropatterned Si (100)Li, K. / Pradeep, N. / Chikkamaranahalli, S. / Stan, G. / Attota, R. / Fu, J. / Silver, R. et al. | 2011
- 041807
-
Correlation of Raman, electrical, and optical properties of high- kappa , atomic layer deposited Al-doped TiO~2Haspert, L.C. / Banerjee, P. / Henn-Lecordier, L. / Rubloff, G.W. et al. | 2011
- 041808
-
Low fluctuation and drift of field emission currents emitted from Pd/W nanotipsAkamine, Y. / Fujiwara, K. / Oshima, C. / Cho, B. et al. | 2011
- 042001
-
Compact slanted comb two-axis micro-mirror scanner fabricated by silicon-on-insulator micromachiningChu, H.M. / Mizuno, J. / Hane, K. / Takagi, T. et al. | 2011
- 042002
-
Developing Ni-Al and Ru-Al intermetallic films for use in microelectromechanical systemsHowell, J.A. / Mohney, S.E. / Muhlstein, C.L. et al. | 2011
- 042003
-
Analysis of a dip-solder process for self-assemblyRao, M. / Lusth, J.C. / Burkett, S.L. et al. | 2011
- 042201
-
Deep traps and thermal measurements on AlGaN/GaN on Si transistorsLo, C.F. / Ren, F. / Pearton, S.J. / Polyakov, A.Y. / Smirnov, N.B. / Govorkov, A.V. / Belogorokhov, I.A. / Belogorokhov, A.I. / Reznik, V.Y. / Johnson, J.W. et al. | 2011
- 042202
-
Comparison of DC performance of Pt/Ti/Au- and Ni/Au-gated AlGaN/GaN high electron mobility transistorsLiu, L. / Lo, C.-F. / Kang, T.-S. / Ren, F. / Pearton, S.J. / Kravchenko, I.I. / Laboutin, O. / Cao, Y. / Johnson, W.J. et al. | 2011
- 050801
-
Fabrication and performance of graphene nanoelectromechanical systemsBarton, R.A. / Parpia, J. / Craighead, H.G. et al. | 2011
- 051201
-
Current transport mechanisms of InGaN metal-insulator-semiconductor photodetectorsShao, Z.G. / Chen, D.J. / Liu, B. / Lu, H. / Xie, Z.L. / Zhang, R. / Zheng, Y.D. et al. | 2011
- 051202
-
Etching mechanisms of thin SiO~2 exposed to Cl~2 plasmaPetit-Etienne, C. / Darnon, M. / Vallier, L. / Pargon, E. / Cunge, G. / Fouchier, M. / Bodart, P. / Haass, M. / Brihoum, M. / Joubert, O. et al. | 2011
- 051203
-
Removing imperceptible fluoride residue after chemical dry-cleaning to fabricate uniform low-resistance NiSi filmFutase, T. / Tanioto, H. / Kimoto, M. / Tsugane, H. / Suzuki, H. / Tobimatsu, H. et al. | 2011
- 051204
-
Indium nitride epilayer prepared by UHV-plasma-assisted metalorganic molecule beam epitaxyChen, W.-C. / Kuo, S.-Y. / Lai, F.-I. / Lin, W.-T. / Hsiao, C.-N. / Tsai, D.P. et al. | 2011
- 051205
-
Influence of sputtering pressure on band gap of Zn~1~-~xMg~xO thin films prepared by radio frequency magnetron sputteringWang, D. / Narusawa, T. / Kawaharamura, T. / Furuta, M. / Li, C. et al. | 2011
- 051206
-
Large-area and nanoscale n-ZnO/p-Si heterojunction photodetectorsPeriasamy, C. / Chakrabarti, P. et al. | 2011
- 051207
-
X-ray photoelectron spectroscopy measurement of the Schottky barrier at the SiC(N)/Cu interfaceKing, S.W. / French, M. / Jaehnig, M. / Kuhn, M. / Boyanov, B. / French, B. et al. | 2011
- 051601
-
Statistical analysis of subnanometer residual disorder in photonic crystal waveguides: Correlation between slow light properties and structural propertiesLe Thomas, N. / Diao, Z. / Zhang, H. / Houdre, R. et al. | 2011
- 051602
-
Novel fabrication technique for nanoscale hydrogen silsesquioxane structures using a direct printing techniqueYang, K.-Y. / Oh, S.-C. / Park, H. / Lee, H. et al. | 2011
- 051603
-
Large area microcorrals and cavity formation on cantilevers using a focused ion beamSaraf, L.V. / Britt, D.W. et al. | 2011
- 051604
-
Low energy micron size beam from inductively coupled plasma ion sourceNabhiraj, P.Y. / Menon, R. / Bhandari, R.K. / Rao, G.M. / Mohan, S. et al. | 2011
- 051801
-
Optimization of postgrowth electron-beam curing for focused electron-beam-induced Pt depositsPlank, H. / Kothleitner, G. / Hofer, F. / Michelitsch, S.G. / Gspan, C. / Hohenau, A. / Krenn, J. et al. | 2011
- 051802
-
Silicon nitride nanotemplate fabrication using inductively coupled plasma etching processAyari-Kanoun, A. / Jaouad, A. / Souifi, A. / Drouin, D. / Beauvais, J. et al. | 2011
- 051803
-
Characterization of Mo/Si multilayer growth on stepped topographiesvan den Boogaard, A.J.R. / Louis, E. / Zoethout, E. / Goldberg, K.A. / Bijkerk, F. et al. | 2011
- 051804
-
Mueller polarimetry as a tool for detecting asymmetry in diffraction grating profilesNovikova, T. / Bulkin, P. / Popov, V. / Ibrahim, B.H. / De Martino, A. et al. | 2011
- 051805
-
Effect of nanoscale ripples on the formation of ZnO quantum dotsChao, L.-C. / Chen, W.-R. / Chen, J.-W. / Lai, S.-M. / Keiser, G. et al. | 2011
- 051806
-
Releasable infrared metamaterialsD Archangel, J.A. / Boreman, G.D. / Shelton, D.J. / Sinclair, M.B. / Brener, I. et al. | 2011
- 051807
-
In-process characterization tool for optically produced sub-100-nm structuresShaikh, M.Z. / Kiess, S. / Gregoire, M. / Simon, S. / Tausendfreund, A. / Zimmermann, M. / Goch, G. et al. | 2011
- 051808
-
Improved estimation of embedded InGaAs/GaAs quantum dots locations using a domed-apex nanoprobeXu, L. / Arai, Y. / Araki, W. / Ogawa, T. / Ozasa, K. / Maeda, M. / Hara, M. et al. | 2011
- 052001
-
Gallium nitride nanowire electromchanical resonators with piezoresistive readoutGray, J.M. / Rogers, C.T. / Bertness, K.A. / Sanford, N.A. et al. | 2011
- 052201
-
Electronic properties of ultrathin high- kappa dielectrics studied by ballistic electron emission microscopyQin, H.L. / Troadec, C. / Goh, K.E.J. / Kakushima, K. / Iwai, H. / Bosman, M. / Pey, K.L. et al. | 2011
- 052401
-
Organic thin-film transistors with electron-beam cured and flash vacuum deposited polymeric gate dielectricAbbas, G. / Assender, H. / Ibrahim, M. / Taylor, D.M. et al. | 2011
- 053001
-
Embedding a carbon nanotube across the diameter of a solid state nanoporeSadki, E.S. / Garaj, S. / Vlassarev, D. / Golovchenko, J.A. / Branton, D. et al. | 2011
- 060601
-
Large-area suspended graphene on GaN nanopillarsLee, C. / Kim, B.-J. / Ren, F. / Pearton, S.J. / Kim, J. et al. | 2011
- 060602
-
Recessed area patterning via nanoimprint lithographyChong, K.S.L. / Lee, Y.-Y. / Low, H.Y. et al. | 2011
- 060603
-
Investigating the effect of off-state stress on trap densities in AlGaN/GaN high electron mobility transistorsLiu, L. / Ren, F. / Pearton, S.J. / Fitch, R.C. / Walker, D.E. / Chabak, K.D. / Gillespie, J.K. / Kossler, M. / Trejo, M. / Via, D. et al. | 2011
- 060604
-
Temperature mapping using single wavelength pyrometry during epitaxial growthPaquette, B. / Gsib, B. / Ares, R. et al. | 2011
- 060801
-
Functional semiconductor nanowires via vapor depositionShi, J. / Wang, X. et al. | 2011
- 061201
-
Effects of proton irradiation on dc characteristics of InAlN/GaN high electron mobility transistorsLo, C.F. / Liu, L. / Ren, F. / Kim, H.-Y. / Kim, J. / Pearton, S.J. / Laboutin, O. / Cao, Y. / Johnson, J.W. / Kravchenko, I.I. et al. | 2011
- 061202
-
Graphitic carbon film formation under Ni templates by radio-frequency sputtering for transparent electrode applicationsLin, M.-Y. / Sheng, Y.-S. / Chen, S.-H. / Su, C.-Y. / Li, L.-J. / Lin, S.-Y. et al. | 2011
- 061203
-
Pulsed-laser atom probe tomography of p-type field effect transistors on Si-on-insulator substratesJin, S. / Jones, K.S. / Ronsheim, P.A. / Hatzistergos, M. et al. | 2011
- 061204
-
Comparison of passivation layers for AlGaN/GaN high electron mobility transistorsFitch, R.C. / Walker, D.E. / Chabak, K.D. / Gillespie, J.K. / Kossler, M. / Trejo, M. / Crespo, A. / Liu, L. / Kang, T.S. / Lo, C.-F. et al. | 2011
- 061205
-
Investigation of polarity effects on the degradation of Pd/Ti/Pt ohmic contacts to p-type SiC under current stressDowney, B.P. / Flemish, J.R. / Mohney, S.E. et al. | 2011
- 061401
-
PEN/Si~3N~4 bilayer film for dc bus capacitors in power converters in hybrid electric vehiclesZou, C. / Zhang, Q. / Zhang, S. / Kushner, D. / Zhou, X. / Bernard, R. / Orchard, R.J. et al. | 2011
- 061402
-
Fabrication of two-dimensional tungsten photonic crystals for high-temperature applicationsAraghchini, M. / Yeng, Y.X. / Jovanovic, N. / Bermel, P. / Kolodziejski, L.A. / Soljacic, M. / Celanovic, I. / Joannopoulos, J.D. et al. | 2011
- 061601
-
Integration of moth-eye structures into a poly(dimethylsiloxane) stamp for the replication of functionalized microlenses using UV-nanoimprint lithographySenn, T. / Kutz, O. / Weniger, C. / Li, J. / Schoengen, M. / Lochel, H. / Wolf, J. / Gottert, P. / Lochel, B. et al. | 2011
- 061602
-
Fabrication of ultra-high-density nanodot array patterns (∼3 Tbits/in.^2) using electron-beam lithographyLee, M.-H. / Kim, H.-M. / Cho, S.-Y. / Lim, K. / Park, S.-Y. / Lee, J.J. / Kim, K.-B. et al. | 2011
- 061603
-
Development of an experimental technique for testing rheological properties of ultrathin polymer films used in nanoimprint lithographyJarzabek, D.M. / Rymuza, Z. / Horiba, A. / Hirai, Y. et al. | 2011
- 061604
-
Method to pattern etch masks in two inclined planes for three-dimensional nano- and microfabricationTjerkstra, R.W. / Woldering, L.A. / van den Broek, J.M. / Vos, W.L. / Roozeboom, F. / Setija, I.D. et al. | 2011
- 061801
-
Growth mechanism of multilayer-graphene-capped, vertically aligned multiwalled carbon nanotube arraysMatsuoka, Y. / Clark, I.T. / Yoshimura, M. et al. | 2011
- 061802
-
Fabrication of nanogaps by a progressive electromigration technique using wires of various thicknessesSaha, S. / Qian, G. / Lewis, K.M. et al. | 2011
- 061803
-
Laser nitriding of niobium for application to superconducting radio-frequency accelerator cavitiesSingaravelu, S. / Klopf, J.M. / Krafft, G. / Kelley, M.J. et al. | 2011
- 061804
-
High temperature focused ion beam response of graphite resulting in spontaneous nanosheet formationLangegger, R. / Lugstein, A. / Glaser, M. / Bertagnolli, E. / Steiger-Thirsfeld, A. et al. | 2011
- 061805
-
Morphology of the Au-Si interface formed during solidification of liquid Au/Si(111) islandsJungwirth, N. / Dailey, E. / Madras, P. / Drucker, J. et al. | 2011
- 061806
-
Oxide nanotube analogues: CuO nanobarrelsFarrell, H.H. / Parra, R.D. et al. | 2011
- 062001
-
Semiconductor nanopores formed by chemical vapor deposition of heteroepitaxial SiC films on SOI(100) substratesIkoma, Y. / Yahaya, H. / Kuriyama, K. / Sakita, H. / Nishino, Y. / Motooka, T. et al. | 2011
- 062201
-
Suppression of boron diffusion in deep submicron devicesGribelyuk, M.A. / Oldiges, P. / Ronsheim, P.A. / Yuan, J. / Kimball, L. et al. | 2011
- 062202
-
Interface and electrical properties of Tm~2O~3 gate dielectrics for gate oxide scaling in MOS devicesKouda, M. / Kawanago, T. / Ahmet, P. / Natori, K. / Hattori, T. / Iwai, H. / Kakushima, K. / Nishiyama, A. / Sugii, N. / Tsutsui, K. et al. | 2011
- 062401
-
Fabrication of a blue organic light-emitting diode with a novel thermal deposition boatLee, S. / Tang, C.W. et al. | 2011
- 062601
-
Surface plasmon waveguide devices with T~g-bonded Cytop claddingsChiu, C. / Lisicka-Skrzek, E. / Tait, R.N. / Berini, P. et al. | 2011
-
Surface templates fabricated using a focused ion beam for lateral positioning of nanoscale islands on Si (001) substratesWang, H. / Gray, J.L. et al. | 2011
-
Metallic oxygen barrier diffusion applied to high- kappa depositionRauwel, E. / Rauwel, P. / Ducroquet, F. / Matko, I. / Lourenco, A.C. et al. | 2011
-
Epitaxial growth of Dy~2O~3 films on SrTiO~3(001) substrates by molecular beam epitaxyBhuiyan, M.N.K. / Menghini, M. / Locquet, J.-P. / Seo, J.W. / Dieker, C. / Jager, W. / Marchiori, C. et al. | 2011
-
Trapping in GdSiO high-k filmsRao, R. / Simoncini, R. / Gottlob, H.D.B. / Schmidt, M. / Irrera, F. et al. | 2011
-
Current instabilities in rare-earth oxides-HfO~2 gate stacks grown on germanium based metal-oxide-semiconductor devices due to Maxwell-Wagner instabilities and dielectrics relaxationRahman, M.S. / Evangelou, E.K. / Dimoulas, A. / Mavrou, G. / Galata, S. et al. | 2011
-
Atomic layer deposition grown metal-insulator-metal capacitors with RuO~2 electrodes and Al-doped rutile TiO~2 dielectric layerHudec, B. / Husekova, K. / Dobrocka, E. / Aarik, J. / Rammula, R. / Kasikov, A. / Tarre, A. / Vincze, A. / Frohlich, K. et al. | 2011
-
Substrate wobble compensation for in situ spectroscopic ellipsometry measurementsJohs, B. / He, P. et al. | 2011
-
Modeling of charging effect on ion induced secondary electron emission from nanostructured materialsOhya, K. / Takami, D. / Yamanaka, T. et al. | 2011
-
Experimental analysis for process control in hybrid lithographyDhima, K. / Steinberg, C. / Mollenbeck, S. / Mayer, A. / Wang, S. / Sheer, H.-C. et al. | 2011
-
Electron field emission from a gold tip under laser irradiation at the plasmon-resonant wavelengthIwami, K. / Iizuka, A. / Umeda, N. et al. | 2011
-
High-performance field-emission electron gun using a reticulated vitreous carbon cathodeSmith, B.C. / Hunt, C.E. / Brodie, I. / Carpenter, A.C. et al. | 2011
-
Modeling the power spectrum of thermal line edge roughness in a lamellar diblock copolymer mesophaseBosse, A.W. et al. | 2011
-
Comparison between ZEP and PMMA resists for nanoscale electron beam lithography experimentally and by numerical modelingKoshelev, K. / Mohammad, M.A. / Fito, T. / Westra, K.L. / Dew, S.K. / Stepanova, M. et al. | 2011
-
Three-dimensional proximity effect correction for large-scale uniform patternsDai, Q. / Lee, S.-Y. / Lee, S.-H. / Kim, B.-G. / Cho, H.-K. et al. | 2011
-
Novel method for fabrication of nanoscale single-electron transistors: Electron beam induced deposition of Pt and atomic layer deposition of tunnel barriersGeorge, H.C. / Orlova, T.A. / Orlov, A.O. / Snider, G.L. et al. | 2011
-
Local, direct-write, damage-free thinning of germanium nanowiresRoediger, P. / Mijic, M. / Zeiner, C. / Lugstein, A. / Wanzenboeck, H.D. / Bertagnolli, E. et al. | 2011
-
Fabrication of a seamless roll mold using inorganic electron beam resist with postexposure bakeUnno, N. / Taniguchi, J. / Ishikawa, K. et al. | 2011
-
Hard stamp processes for the EVG 620 full field nanoimprint systemConway, J. / Kruger, J.B. / Mansourpour, M. / Rissman, P. et al. | 2011
-
Electrical characteristics of metal-insulator-semiconductor structures with atomic layer deposited Al~2O~3, HfO~2, and nanolaminates on different silicon substratesCampabadal, F. / Rafi, J.M. / Zabala, M. / Beldarrain, O. / Faigon, A. / Castan, H. / Gomez, A. / Garcia, H. / Duenas, S. et al. | 2011
-
Noncontact metrology for inversion charge carrier mobility by corona charge and photovoltage measurements on blank wafers with a gate dielectricEveraert, J.L. / Rosseel, E. / Pap, A. / Meszaros, A. / Dekoster, J. / Pavelka, T. et al. | 2011
-
Hot-carrier degradation caused interface state profile-Simulation versus experimentStarkov, I. / Tyaginov, S. / Enichlmair, H. / Cervenka, J. / Jungemann, C. / Carniello, S. / Park, J.M. / Ceric, H. / Grasser, T. et al. | 2011
-
Synthesis and characterization of DyScO films deposited on Si and Si-rich SiN by atomic layer deposition for blocking layer replacement in TANOS stackLamperti, A. / Cianci, E. / Russo, U. / Spiga, S. / Salicio, O. / Congedo, G. / Fanciulli, M. et al. | 2011
-
Molecular beam epitaxy approach to the graphitization of GaAs(100) surfacesSimmonds, P.J. / Simon, J. / Woodall, J.M. / Lee, M.L. et al. | 2011
-
Study of molecular beam epitaxially grown InGaAsSbN/GaSb single quantum wellsBharatan, S. / Iyer, S. / Li, J. / Rawdanowicz, T.A. / Reynolds, L. et al. | 2011
-
Utilization of cracks to fabricate anodic aluminum oxide nanoporous tubular and rectangular membraneKasi, A.K. / Afzulpurkar, N. / Kasi, J.K. / Tuantranont, A. / Dulyaseree, P. et al. | 2011
-
Spectroscopic detection of hopping induced mixed valence for Ti and Sc in GdSc~1~-~xTi~xO~3 for x greater than the percolation threshold of ∼0.16Lucovsky, G. / Miotti, L. / Bastos, K.P. / Adamo, C. / Schlom, D.G. et al. | 2011
-
Study of the physical and electrical degradation of thin oxide films by atomic force microscopeHourani, W. / Gautier, B. / Militaru, L. / Albertini, D. / Descamps-Mandine, A. et al. | 2011
-
CV measurements on LaLuO~3 stack metal-oxide-semiconductor capacitor using a new three-pulse techniqueSedghi, N. / Mitrovic, I.Z. / Hall, S. / Lopes, J.M.J. / Schubert, J. et al. | 2011
-
Influence of precursor chemistry and growth temperature on the electrical properties of SrTiO~3-based metal-insulator-metal capacitors grown by atomic layer depositionGarcia, H. / Castan, H. / Gomez, A. / Duenas, S. / Bailon, L. / Kukli, K. / Kariniemi, M. / Kernell, M. / Niinisto, J. / Ritala, M. et al. | 2011
-
On the role of Ti adlayers for resistive switching in HfO~2-based metal-insulator-metal structures: Top versus bottom electrode integrationWalczyk, C. / Wenger, C. / Walczyk, D. / Lukosius, M. / Costina, I. / Fraschke, M. / Dabrowski, J. / Fox, A. / Wolansky, D. / Thiess, S. et al. | 2011
-
II-VI heterostructures obtained by encapsulation of colloidal CdSe nanowires by molecular beam epitaxy deposition of ZnSeLiu, X. / Mintairov, A.M. / Herzog, J. / Vietmeyer, F. / Pimpinella, R.E. / Kuno, M. / Merz, J.L. / Kosel, T.H. / Dobrowolska, M. / Furdyna, J.K. et al. | 2011
-
Molecular beam epitaxy growth of InGaN-GaN superlattices for optoelectronic devicesBoney, C. / Starikov, D. / Hernandez, I. / Pillai, R. / Bensaoula, A. et al. | 2011
-
Antimonide-based pN terahertz mixer diodesMagno, R. / Champlain, J.G. / Newman, H.S. / Park, D. et al. | 2011
-
Optical measurements of single CdTe self-assembled quantum dots grown on ZnTe/GaSbPimpinella, R.E. / Mintairov, A.M. / Liu, X. / Kosel, T.H. / Merz, J.L. / Furdyna, J.K. / Dobrowolska, M. et al. | 2011
-
Formation of single-orientation epitaxial islands of TiSi~2 on Si(001) using Sr passivationPosadas, A. / Dargis, R. / Choi, M.R. / Slepko, A. / Demkov, A.A. / Kim, J.J. / Smith, D.J. et al. | 2011
-
Towards an all-track 300 mm process for directed self-assemblyLiu, C.-C. / Thode, C.J. / Delgadillo, P.A.R. / Craig, G.S.W. / Nealey, P.F. / Gronheid, R. et al. | 2011
-
Optimization of block copolymer self-assembly through graphoepitaxy: A defectivity studyTiron, R. / Chevalier, X. / Couderc, C. / Pradelles, J. / Bustos, J. / Pain, L. / Navarro, C. / Magnet, S. / Fleury, G. / Hadziioannou, G. et al. | 2011
-
Electron-beam-induced deposition of 3-nm-half-pitch patterns on bulk Sivan Oven, J.C. / Berwald, F. / Berggren, K.K. / Kruit, P. / Hagen, C.W. et al. | 2011
-
Electron beam lithography writing strategies for low loss, high confinement silicon optical waveguidesBojko, R.J. / Li, J. / He, L. / Baehr-Jones, T. / Hochberg, M. / Aida, Y. et al. | 2011
-
Influence of gun design on Coulomb interactions in a field emission gunVerduin, T. / Cook, B. / Kruit, P. et al. | 2011
-
Fabrication of hybrid metal island/silicon single electron transistorLee, Y.-C. / Orlov, A.O. / Snider, G.L. et al. | 2011
-
Etch properties of resists modified by sequential infiltration synthesisTseng, Y.-C. / Peng, Q. / Ocola, L.E. / Czaplewski, D.A. / Elam, J.W. / Darling, S.B. et al. | 2011
-
Nanofabrication down to 10 nm on a plastic substrateTao, L. / Lee, J. / Akinwande, D. et al. | 2011
-
Flexible poly(dimethyl siloxane) support layers for the evanescent characterization of near-field lithography systemsMoore, C.P. / Blaikie, R.J. et al. | 2011
-
Microelectromechanical system-based vacuum gauge for measuring pressure and outgassing rates in miniaturized vacuum microelectronic devicesKuljic, R. / Chang, J. / Jayapratha, N. / Dankovic, T. / Banerjee, K. / Feinerman, A. / Busta, H. et al. | 2011
-
Compensation of space charge for positive ion beams using electron injection and confinement in nonuniform magnetic fieldsNicolaescu, D. / Sakai, S. / Gotoh, Y. / Ishikawa, J. et al. | 2011
-
Measurement of surface potential of insulating film on a conductive substrate in a scanning electron microscope specimen chamberKotera, M. / Osada, A. / Otani, M. / Ohara, Y. et al. | 2011
-
Imaging of extreme-ultraviolet mask patterns using coherent extreme-ultraviolet scatterometry microscope based on coherent diffraction imagingHarada, T. / Nakasuji, M. / Kimura, T. / Watanabe, T. / Kinoshita, H. / Nagata, Y. et al. | 2011
-
Influence of surface patterning on bacterial growth behaviorNill, P. / Kern, D.P. / Goehring, N. / Peschel, A. et al. | 2011
-
Soft patterning on cylindrical surface of plastic optical fiberMekaru, H. / Takagi, H. / Ohtomo, A. / Kokubo, M. / Goto, H. et al. | 2011
-
Atomic step patterning in nanoimprint lithography: Molecular dynamics studyTada, K. / Yasuda, M. / Tan, G. / Miyake, Y. / Kawata, H. / Yoshimoto, M. / Hirai, Y. et al. | 2011
-
Fabrication of silicon template with smooth tapered sidewall for nanoimprint lithographyHe, J. / Richter, K. / Bartha, J.W. / Howitz, S. et al. | 2011
-
Dynamic characteristics control of DLC nano-resonator fabricated by focused-ion-beam chemical vapor depositionKometani, R. / Nishi, S. / Warisawa, S. / Ishihara, S. et al. | 2011
-
Light trapping in plasmonic nanocavities on metal surfacesPolyakov, A. / Padmore, H.A. / Liang, X. / Dhuey, S. / Harteneck, B. / Schuck, J.P. / Cabrini, S. et al. | 2011
-
Multilayer infrared metamaterial fabrication using membrane projection lithographyBurckel, D.B. / Wendt, J.R. / Samora, S. / Sinclair, M.B. / Brener, I. / Ginn, J.C. et al. | 2011
-
Nanofabrication of photonic crystal-based devices using electron beam spot lithographyJugessur, A.S. / Yagnyukova, M. / Aitchison, J.S. et al. | 2011
-
Injection compression molding of high-aspect-ratio nanostructuresNagato, K. / Hamaguchi, T. / Nakao, M. et al. | 2011
-
Reliability studies on Ta~2O~5 high- kappa dielectric metal-insulator-metal capacitors prepared by wet anodizationSedghi, N. / Davey, W. / Mitrovic, I.Z. / Hall, S. et al. | 2011
-
Electrical characteristics of Ti-Ta-O based MIM capacitorsLukosius, M. / Kaynak, C.B. / Wenger, C. / Ruhl, G. / Rushworth, S. et al. | 2011
-
Temperature dependence of TaAlO~x metal-insulator-metal capacitorsHota, M.K. / Mallik, S. / Sarkar, C.K. / Maiti, C.K. et al. | 2011
-
Two-dimensional III-V nucleation on Si for nonlinear opticsLin, A.C. / Harris, J.-S. / Fejer, M.M. et al. | 2011
-
Atomic ordering and phase separation in MBE GaAs~1~-~xBi~xNorman, A.G. / France, R. / Ptak, A.J. et al. | 2011
-
Interfacial properties of HfO~2 dielectric film on Ge substrateHe, D. / Cheng, X. / Xu, D. / Wang, Z. / Yu, Y. / Sun, Q. / Zhang, D.W. et al. | 2011
-
Atomic layer deposition temperature dependent minority carrier generation in ZrO~2/GeO~2/Ge capacitorsBethge, O. / Abermann, S. / Henkel, C. / Smoliner, J. / Bertagnolli, E. / Straif, C.J. / Hutter, H. et al. | 2011
-
Growth and thermal conductivity analysis of polycrystalline GaAs on chemical vapor deposition diamond for use in thermal management of high-power semiconductor lasersClark, S.P.R. / Ahirwar, P. / Jaeckel, F.T. / Hains, C.P. / Albrecht, A.R. / Rotter, T.J. / Dawson, L.R. / Balakrishnan, G. / Hopkins, P.E. / Phinney, L.M. et al. | 2011
-
Fabrication of chevron patterns for patterned media with block copolymer directed assemblyLiu, G. / Nealey, P.F. / Ruiz, R. / Dobisz, E. / Patel, K.C. / Albrecht, T.R. et al. | 2011
-
3D fabrication by stacking prepatterned, rigidly held membranesPatel, A.A. / Fucetola, C.P. / Moon, E.E. / Smith, H.I. et al. | 2011
-
Defined emission area and custom thermal electron sourcesMackie, W.A. / Magera, G.G. et al. | 2011
-
Suspended, micron-scale corner cube retroreflectors as ultra-bright optical labelsSherlock, T. / Nasrullah, A. / Litvinov, J. / Cacao, E. / Knoop, J. / Kemper, S. / Kourentzi, K. / Kar, A. / Ruchhoeft, P. / Willson, R. et al. | 2011
-
Evaluation of fluorine additive effect on cationic UV-nanoimprint resinOkada, M. / Haruyama, Y. / Matsui, S. / Miyake, H. / Iyoshi, S. / Yukawa, T. / Takeuchi, H. et al. | 2011
-
Scanning proximal probe lithography for sub-10 nm resolution on calix[4]resorcinareneKaestner, M. / Rangelow, I.W. et al. | 2011
-
Multitip atomic force microscope lithography system for high throughput nanopatterningOh, Y. / Choi, C. / Noh, K. / Villwock, D. / Jin, S. / Kwon, G. / Lee, H. et al. | 2011
-
Investigation of graphene piezoresistors for use as strain gauge sensorsChen, X. / Zheng, X. / Kim, J.-K. / Li, X. / Lee, D.-W. et al. | 2011
-
MEMS process compatibility of multiwall carbon nanotubesCook, E.H. / Carter, D.J.D. et al. | 2011
-
Carbon nanomechanical resonator fabrication from PMMA by FIB/electron-beam dual-beam lithographyKometani, R. / Hatakeyama, T. / Kuroda, K. / Warisawa, S. / Ishihara, S. et al. | 2011
-
Twelve nanometer half-pitch W-Cr-HSQ trilayer process for soft x-ray tungsten zone platesReinspach, J. / Uhlen, F. / Hertz, H.M. / Holmberg, A. et al. | 2011
-
Evaluation of three exposure schemes for absorbance-modulated interference lithographyFoulkes, J.E. / Holzwarth, C.W. / Blaikie, R.J. et al. | 2011
-
Multiphonon hole trapping from first principlesSchanovsky, F. / Gos, W. / Grasser, T. et al. | 2011
-
Study of parasitic trapping in alumina used as blocking oxide for nonvolatile memoriesColonna, J.P. / Bocquet, M. / Molas, G. / Rochat, N. / Blaise, P. / Grampeix, H. / Licitra, C. / Lafond, D. / Masoero, L. / Vidal, V. et al. | 2011
-
AlGaN/GaN high electron mobility transistors on 100 mm silicon substrates by plasma molecular beam epitaxyHoke, W.E. / Kennedy, T.D. / Mosca, J.J. / Kerr, A.J. / Torabi, A. / Davis-Hearns, S. / LaRoche, J.R. et al. | 2011
-
Charge trapping defects in Si/SiO~2/Hf~(~1~-~x~)Si~xO~2 film stacks characterized by spectroscopic second-harmonic generationPrice, J. / Lei, M. / Lysaght, P.S. / Bersuker, G. / Downer, M.C. et al. | 2011
-
Size-dependent optical properties of Si nanocrystals embedded in amorphous SiO~2 measured by spectroscopic ellipsometryWei, J. / Price, J. / Wang, T. / Hessel, C. / Downer, M.C. et al. | 2011
-
Patterned atomic layer epitaxy of Si/Si(001):HOwen, J.H.G. / Ballard, J. / Randall, J.N. / Alexander, J. / Von Ehr, J.R. et al. | 2011
-
Selective placement of DNA origami on substrates patterned by nanoimprint lithographyPenzo, E. / Wang, R. / Palma, M. / Wind, S.J. et al. | 2011
-
Experimental evaluation method of point spread functions used for proximity effects correction in electron beam lithographyNilsson, B.A. et al. | 2011
-
Miniaturization of grayscale imagesYang, J.K.W. / Duan, H. / Law, J.B.K. / Low, H.Y. / Cord, B. et al. | 2011
-
Mask roughness challenges in extreme ultraviolet mask developmentNaulleau, P. / McClinton, B. / Goldberg, K.A. / Mochi, I. / Rastegar, A. et al. | 2011
-
New approach for measuring protrusive forces in cellsMathur, A. / Roca-Cusachs, P. / Rossier, O.M. / Wind, S.J. / Sheetz, M.P. / Hone, J. et al. | 2011
-
Room temperature nanoimprinting using spin-coated hydrogen silsesquioxane with high boiling point solventKang, Y. / Okada, M. / Omoto, S. / Haruyama, Y. / Kanda, K. / Matsui, S. et al. | 2011
-
Mechanical characteristics of imprinted nanostructures fabricated with a poly(dimethylsiloxane) moldKang, Y. / Okada, M. / Nakai, Y. / Haruyama, Y. / Kanda, K. / Matsui, S. et al. | 2011
-
High aspect ratio fine pattern transfer using a novel mold by nanoimprint lithographySakamoto, J. / Fujikawa, N. / Nishikura, N. / Kawata, H. / Yasuda, M. / Hirai, Y. et al. | 2011
-
Evaluation of a simplified simulation approach for thin film type gated field emittersLuo, J. / Chen, J. / Deng, S.Z. / Xu, N.S. et al. | 2011
-
Field effect controlled lateral field emission triodePalma, J. / Mil shtein, S. et al. | 2011
-
Temperature hysteresis of the capacitance dependence C(T) for ferroelectric ceramicsDedyk, A. / Pavlova, Y. / Karmanenko, S. / Semenov, A. / Semikin, D. / Pakhomov, O. / Starkov, A. / Starkov, I. et al. | 2011
-
Di-block copolymer directed anodization of hexagonally ordered nanoporous aluminum oxideNoh, K. / Choi, C. / Kim, H. / Oh, Y. / Kim, J.-Y. / Jung, S.-Y. / Seong, T.-Y. / Jin, S. et al. | 2011
-
Nanopatterning of PMMA on insulating surfaces with various anticharging schemes using 30 keV electron beam lithographyMuhammad, M. / Buswell, S.C. / Dew, S.K. / Stepanova, M. et al. | 2011
-
Model based hybrid proximity effect correction scheme combining dose modulation and shape adjustmentsKlimpel, T. / Schulz, M. / Zimmermann, R. / Stock, H.-J. / Zepka, A. et al. | 2011
-
Electron bombardment of films used for reducing spurious charge in electrostatic electron opticsMaldonado, J.R. / Pease, F. / Hitzman, C.J. / Brodie, A.D. / Petric, P. / Bevis, C. / McCord, M. / Tong, W.M. / Kidwingira, F. / Pianetta, P. et al. | 2011
-
Fabrication of fluidic devices with 30 nm nanochannels by direct imprintingFernandez-Cuesta, I. / Palmarelli, A.L. / Liang, X. / Zhang, J. / Dhuey, S. / Olynick, D. / Cabrini, S. et al. | 2011
-
Combining nanoimprint lithography and a molecular weight selective thermal reflow for the generation of mixed 3D structuresSchleunitz, A. / Spreu, C. / Vogler, M. / Atasoy, H. / Schift, H. et al. | 2011
-
Macro-optical inspection method for deterioration evaluation of release-coated mold surfaces for nanoimprint lithographyTaniguchi, J. / Takahashi, J. / Uda, M. / Kohayase, A. / Kotaki, K. et al. | 2011
-
Large area 3D helical photonic crystalsRaub, A.K. / Brueck, S.R.J. et al. | 2011
-
Selective graphene growth from DLC thin film patterned by focused-ion-beam chemical vapor depositionHatakeyama, T. / Kometani, R. / Warisawa, S. / Ishihara, S. et al. | 2011
-
Space charge, emittance, trajectories, and the modeling of field emitter arraysJensen, K.L. et al. | 2011
-
Field electron emission properties of n-type (111)-oriented single crystal cubic boron nitrideYamada, T. / Nebel, C.E. / Taniguchi, T. et al. | 2011
-
Role of alkali metal adsorption and defect position on the work function of a (5, 5) capped single-walled carbon nanotubeXu, S.-F. / Yuan, G. / Li, C. / Mimura, H. et al. | 2011
-
Growth of epitaxial NiTi shape memory alloy films on GaAs(001) and evidence of martensitic transformationBuschbeck, J. / Kawasaki, J. / Buehl, T.E. / Gossard, A.C. / Palmstrom, C.J. et al. | 2011
-
Single-crystalline aluminum grown on MgAl~2O~4 spinel using molecular-beam epitaxyLin, Y. / Norman, A.G. / McMahon, W.E. / Moutinho, H.R. / Jiang, C.-S. / Ptak, A.J. et al. | 2011
-
Atomic-scale modeling of In~xGa~1~-~xN quantum dot self-assemblyZhang, Z. / Chatterjee, A. / Grein, C. / Ciani, A.J. / Chung, P.W. et al. | 2011
-
High in content In~xGa~1~-~xN grown by energetic neutral atom beam lithography and epitaxy under slightly N-rich conditionsWilliamson, T.L. / Williams, J.J. / Hubbard, J.C.D. / Hoffbauer, M.A. et al. | 2011
-
Photoluminescence and secondary ion mass spectrometry study of layer-by-layer grown Zn~1~-~xCd~xSe quantum wellsHernandez-Calderon, I. / Garcia-Vazquez, M. / Hernandez-Ramirez, L.M. / Vidal, M.A. et al. | 2011
-
Probe field enhancement in photonic crystals by upconversion nanoparticlesZhang, J. / Pick, T.E. / Gargas, D. / Dhuey, S. / Chan, E.M. / Wu, Y. / Liang, X. / Schuck, P.J. / Olynick, D.L. / Helms, B.A. et al. | 2011
-
Actinic characterization of extreme ultraviolet bump-type phase defectsGoldberg, K.A. / Mochi, I. et al. | 2011
-
Analysis of a scheme for de-magnified Talbot lithographyUrbanski, L. / Marconi, M.C. / Isoyan, A. / Stein, A. / Menoni, C.S. / Rocca, J.J. et al. | 2011
-
Nanomachining with a focused neon beam: A preliminary investigation for semiconductor circuit editing and failure analysisTan, S. / Livengood, R. / Hack, P. / Hallstein, R. / Shima, D. / Notte, J. / McVey, S. et al. | 2011
-
Fast aerial image simulations using one basis mask pattern for optical proximity correctionLiu, S. / Wu, X. / Liu, W. / Zhang, C. et al. | 2011
-
Improved time dependent performance of hydrogen silsesquioxane resist using a spin on top coatWestly, D.A. / Tennant, D.M. / Aida, Y. / Ohki, H. / Ohkubo, T. et al. | 2011
-
Faceted magnetron concept using field emission cathodesBrowning, J. / Watrous, J. et al. | 2011
-
Effect of ultraviolet light irradiation on electron field emission from titanium-oxide nanostructuresWakaya, F. / Tatsumi, T. / Murakami, K. / Abo, S. / Takai, M. / Takimoto, T. / Takaoka, Y. et al. | 2011
-
Kinetics of long-time photoluminescence in quantum dotsKral, K. / Mensik, M. et al. | 2011
-
Quantitative investigation of hydrogen bonds on Si(100) surfaces prepared by vapor phase epitaxyDobrich, A. / Kleinschmidt, P. / Doscher, H. / Hannappel, T. et al. | 2011
-
Zirconium oxide-aluminum oxide nanolaminate gate dielectrics for amorphous oxide semiconductor thin-film transistorsWaggoner, T. / Triska, J. / Hoshino, K. / Wager, J.F. / Conley, J.F. et al. | 2011
-
Embedded ErAs nanorods on GaAs (n11) substrates by molecular beam epitaxyBuehl, T.E. / Palmstrom, C.J. / Gossard, A.C. et al. | 2011
-
Growth and characterization of TbAs:GaAs nanocompositesCassels, L.E. / Buehl, T.E. / Burke, P.G. / Palmstrom, C.J. / Gossard, A.C. / Pernot, G. / Shakouri, A. / Haughn, C.R. / Doty, M.F. / Zide, J.M.O. et al. | 2011
-
Low-misfit epilayer analyses using in situ wafer curvature measurementsFrance, R. / Ptak, A.J. et al. | 2011
-
Optical property improvement of InAs/GaAs quantum dots grown by hydrogen-plasma-assisted molecular beam epitaxyKatkov, A.V. / Wang, C.C. / Chi, J.Y. / Cheng, C. / Gutakovskii, A.K. et al. | 2011
-
Growth of AlGaN containing nanometer scale compositional inhomogeneities for ultraviolet light emittersSampath, A.V. / Garrett, G.A. / Enck, R.W. / Rottella, P. / Shen, H. / Wraback, M. et al. | 2011
-
High quality epitaxial Dy~3Ge~5 films grown on Ge(001) substratesBhuiyan, M.N.K. / Menghini, M. / Locquet, J.-P. / Seo, J.W. / Marchiori, C. et al. | 2011
-
Characterization of NbAlO dielectric film deposited on InPHe, D. / Cheng, X. / Xu, D. / Wang, Z. / Yu, Y. / Sun, Q. / Zhang, D.W. et al. | 2011
-
Temperature dependence of the emission and capture times of SiON individual traps after positive bias temperature stressToledano-Luque, M. / Kaczer, B. / Roussel, P. / Cho, M.J. / Grasser, T. / Groeseneken, G. et al. | 2011
-
Recent trends in bias temperature instabilityKaczer, B. / Grasser, T. / Franco, J. / Toledano-Luque, M. / Roussel, P.J. / Cho, M. / Simoen, E. / Groeseneken, G. et al. | 2011
-
Stochastic model of the resistive switching mechanism in bipolar resistive random access memory: Monte Carlo simulationsMakarov, A. / Sverdlov, V. / Selberherr, S. et al. | 2011
-
Mesoscopic nature of the electron transport in electroformed metal-insulator-metal switchesMiranda, E. et al. | 2011
-
Evaluation of DyScO~x as an alternative blocking dielectric in TANOS memories with Si~3N~4 or Si-rich SiN charge trapping layersCongedo, G. / Spiga, S. / Russo, U. / Lamperti, A. / Salicio, O. / Cianci, E. / Fanciulli, M. et al. | 2011
-
Electrical analysis of three-stage passivated In~0~.~5~3Ga~0~.~4~7As capacitors with varying HfO~2 thicknesses and incorporating an Al~2O~3 interface control layerMonaghan, S. / O Mahony, A. / Cherkaoui, K. / O Connor, E. / Povey, I.M. / Nolan, M.G. / O Connell, D. / Pemble, M.E. / Hurley, P.K. / Provenzano, G. et al. | 2011
-
Macroscopic and microscopic electrical characterizations of high-k ZrO~2 and ZrO~2/Al~2O~3/ZrO~2 metal-insulator-metal structuresMartin, D. / Grube, M. / Weinreich, W. / Muller, J. / Wilde, L. / Erben, E. / Weber, W.M. / Heitmann, J. / Schroder, U. / Mikolajick, T. et al. | 2011
-
Temperature dependence of the resistance switching effect studied on the metal/YBa~2Cu~3O~6~+~x planar junctionsTomasek, M. / Plecenik, T. / Truchly, M. / Noskovic, J. / Roch, T. / Zahoran, M. / Chromik, S. / Spankova, M. / Kus, P. / Plecenik, A. et al. | 2011
-
Development of a vacuum transistor using hafnium nitride field emitter arraysIkeda, K. / Ohue, W. / Endo, K. / Gotoh, Y. / Tsuji, H. et al. | 2011
-
Direct top-down ordering of diblock copolymers through nanoimprint lithographySalaun, M. / Kehagias, N. / Salhi, B. / Baron, T. / Boussey, J. / Torres, C.M.S. / Zelsmann, M. et al. | 2011
-
3D Nanostructuring of hydrogen silsesquioxane resist by 100 keV electron beam lithographyVila-Comamala, J. / Gorelick, S. / Guzenko, V.A. / David, C. et al. | 2011
-
Developer-free direct patterning of PMMA/ZEP 520A by low voltage electron beam lithographyZheng, D.A.Z. / Mohammad, M.A. / Dew, S.K. / Stepanova, M. et al. | 2011
-
Comparison of hydrogen silsesquioxane development methods for sub-10 nm electron beam lithography using accurate linewidth inspectionMacintyre, D.S. / Thoms, S. et al. | 2011
-
Secondary-electron signal level measurements of self-assembled monolayers for spatial-phase-locked electron-beam lithographyCheong, L.L. / Lobez, J.M. / Moon, E.E. / Hastings, J.T. / Smith, H.I. et al. | 2011
-
Process window modeling using focus balancing techniqueIsoyan, A. / Croffie, E. / Melvin, L.S. et al. | 2011
-
Liquid transfer imprint lithography: A new route to residual layer thickness controlKoo, N. / Kim, J.W. / Otto, M. / Moormann, C. / Kurz, H. et al. | 2011
-
Mechanical characterization of a piezo-operated thermal imprint systemMayer, A. / Moellenbeck, S. / Dhima, K. / Wang, S. / Scheer, H.-C. et al. | 2011
-
New diamond nanofabrication process for hard x-ray zone platesUhlen, F. / Lindqvist, S. / Nilsson, D. / Reinspach, J. / Vogt, U. / Hertz, H.M. / Holmberg, A. / Barrett, R. et al. | 2011
-
Computational study of electron-irradiation effects in carbon nanomaterials on substratesChihara, Y. / Yasuda, M. / Wakuda, S. / Kawata, H. / Hirai, Y. et al. | 2011
-
Computational lithography: Exhausting the resolution limits of 193-nm projection lithography systemsMelville, D.O.S. / Rosenbluth, A.E. / Waechter, A. / Millstone, M. / Tirapu-Azpiroz, J. / Tian, K. / Lai, K. / Inoue, T. / Sakamoto, M. / Adam, K. et al. | 2011
-
Localized dry-etch substrate thinning for dislocation reduction in heteroepitaxial CdTe/Si(211)Jacobs, R.N. / Markunas, J.K. / Nozaki, C. / Jaime-Vasquez, M. / Smith, P.J. / Benson, J.D. / Pellegrino, J. et al. | 2011
-
Molecular beam epitaxy of metamorphic In~yGa~1~-~yP solar cells on mixed anion GaAs~xP~1~-~x/GaAs graded buffersTomasulo, S. / Simon, J. / Simmonds, P.J. / Biagiotti, J. / Lee, M.L. et al. | 2011
-
Ferrocene and Inconel assisted growth of dense carbon nanotube forests on copper foilsAtthipalli, G. / Epur, R. / Kumta, P.N. / Gray, J.L. et al. | 2011
-
Emission mechanism of high current density scandia-doped dispenser cathodesWang, Y. / Wang, J. / Liu, W. / Zhang, X. / Li, L. et al. | 2011
-
Electrostatic focusing Spindt-type field emitter array for an image sensor with a high-gain avalanche rushing amorphous photoconductor targetHonda, Y. / Takiguchi, Y. / Egami, N. / Nanba, M. / Saishu, Y. / Nakamura, K. / Taniguchi, M. et al. | 2011
-
Nanosecond pulsed field emission from single-gate metallic field emitter arrays fabricated by moldingTsujino, S. / Paraliev, M. / Kirk, E. / Vogel, T. / Le Pimpec, F. / Gough, C. / Ivkovic, S. / Braun, H.-H. et al. | 2011
-
Selective profile transformation of electron-beam exposed multilevel resist structures based on a molecular weight dependent thermal reflowSchleunitz, A. / Guzenko, V.A. / Schander, A. / Vogler, M. / Schift, H. et al. | 2011
-
Parallel electron-beam-induced deposition using a multi-beam scanning electron microscopePost, P.C. / Mohammadi-Gheidari, A. / Hagen, C.W. / Kruit, P. et al. | 2011
-
3D nanostructures by stacking pre-patterned fluid-supported single-crystal Si membranesGhadarghadr, S. / Fucetola, C.P. / Cheong, L.L. / Moon, E.E. / Smith, H.I. et al. | 2011
-
Ion beams in SEM: An experiment towards a high brightness low energy spread electron impact gas ion sourceJun, D.S. / Kutchoukov, V.G. / Kruit, P. et al. | 2011
-
Evaluation of the curing process of UV resins in a 1,1,1,3,3-pentafluoropropane gas environment by photo differential scanning calorimetry and Fourier transform infrared spectroscopySawada, Y. / Haruyama, Y. / Kanda, K. / Matsui, S. / Okada, M. / Miyake, H. / Ohsaki, T. / Hirai, Y. / Hiroshima, H. et al. | 2011
-
Large-diameter roll mold fabrication method using a small-diameter quartz roll mold and UV nanoimprint lithographyTaniguchi, J. / Unno, N. / Maruyama, H. et al. | 2011
-
Charging effects during focused electron beam induced deposition of silicon oxidede Boer, S.K. / van Dorp, W.F. / De Hosson, J.T.M. et al. | 2011
-
Lithography-patterning-fidelity-aware electron-optical system design optimizationChen, S.-Y. / Ng, H.-T. / Ma, S.-Y. / Chen, H.-H. / Liu, C.-H. / Tsai, K.-Y. et al. | 2011
-
Coexistence of guided mode resonance and extraordinary optical transmission in metal/dielectric/metal photonic crystal slabOu, N. / Shyu, J.-H. / Lee, H.-M. / Wu, J.-C. et al. | 2011
-
Probing albumin adsorption onto calcium phosphates by x-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectrometryBaio, J.E. / Weidner, T. / Interlandi, G. / Mendoza-Barrera, C. / Canavan, H.E. / Michel, R. / Castner, D.G. et al. | 2011
-
Simulation studies of the dielectric layer effect on the discharge characteristics of the shadow mask plasma display panelZhang, P. / Tu, Y. / Yang, L. et al. | 2011
-
Cross-sectional scanning tunneling microscopy and spectroscopy of semimetallic ErAs nanostructures embedded in GaAsKawasaki, J.K. / Timm, R. / Buehl, T.E. / Lundgren, E. / Mikkelsen, A. / Gossard, A.C. / Palmstrom, C.J. et al. | 2011
-
In situ real time Auger analyses during oxides and alloy growth using a new spectrometer designStaib, P.G. et al. | 2011
-
Epitaxial growth of Co~xMn~ySi~z (111) thin films in the compositional range around the Heusler alloy Co~2MnSiHe, L. / Collins, B.A. / Tsui, F. / Chu, Y.S. et al. | 2011
-
Growth mechanism of InGaN by plasma assisted molecular beam epitaxyTurski, H. / Siekacz, M. / Sawicka, M. / Cywinski, G. / Krysko, M. / Grzanka, S. / Smalc-Koziorowska, J. / Grzegory, I. / Porowski, S. / Wasilewski, Z.R. et al. | 2011
-
High quality m-plane GaN grown under nitrogen-rich conditions by plasma assisted molecular beam epitaxySawicka, M. / Feduniewicz-Zmuda, A. / Turski, H. / Siekacz, M. / Grzanka, S. / Krysko, M. / Dziecielewski, I. / Grzegory, I. / Skierbiszewski, C. et al. | 2011
-
Effect of gate capping configurations and silicon-on-insulator thickness with external stresses on partially depleted metal-oxide-semiconductor field-effect transistorsChang, W.-T. / Lin, J.-A. / Wang, C.-C. / Yeh, W.-K. et al. | 2011
-
Enhancement of spatial resolution in generating point spread functions by Monte Carlo simulation in electron-beam lithographyLee, S.-Y. / Dai, Q. / Lee, S.-H. / Kim, B.-G. / Cho, H.-K. et al. | 2011
-
Anisotropic filling phenomenon of cavities in UV nanoimprint lithographyWang, Q. / Hiroshima, H. et al. | 2011
-
Gradually shifting surface plasmon resonance by controlling the diameter of a nanohole structure by self-assemblyTakagi, T. / Kometani, R. / Ishihara, S. / Warisawa, S. / Kurihara, K. / Awazu, K. et al. | 2011
-
Technology platform for the fabrication of titanium nanostructuresEcoffey, S. / Guilmain, M. / Morissette, J.-F. / Bourque, F. / Pont, J. / Sang, B.L. / Drouin, D. et al. | 2011
-
Enhanced normal incidence photocurrent in quantum dot infrared photodetectorsShao, J. / Vandervelde, T.E. / Barve, A. / Jang, W.-Y. / Stintz, A. / Krishna, S. et al. | 2011
-
Reflection high energy electron diffraction investigation and comparison of the initial stage during molecular beam epitaxy of AlN on Si(111) and Si(110) substratesMansurov, V. / Xu, X. / Pandikunta, M. / Uddin, R. / Nikishin, S. et al. | 2011
-
Visible-light induced electron emission from carbon nanotube forestsYaghoobi, P. / Moghaddam, M.V. / Michan, M. / Nojeh, A. et al. | 2011
-
Electronic and field emission properties of two-dimensional nanotoriFilip, M.R. / Filip, L.D. et al. | 2011
-
Suitability of low-work-function titanium nitride coated transfer mold field-emitter arrays for harsh environment applicationsNakamoto, M. / Moon, J. et al. | 2011
-
Structural and electrical properties of Ti~xAl~1~-~xO~y thin films grown by atomic layer depositionAlekhin, A.P. / Chouprik, A.A. / Gudkova, S.A. / Markeev, A.M. / Lebedinskii, Y.Y. / Matveyev, Y.A. / Zenkevich, A.V. et al. | 2011
-
Impact of halo implant on the hot carrier reliability of germanium p-channel metal-oxide-semiconductor field-effect transitorsFranco, J. / Eneman, G. / Kaczer, B. / Mitard, J. / De Jaeger, B. / Groeseneken, G. et al. | 2011
-
Performance of AlGaN/GaN metal-insulator-semiconductor heterostructure field-effect transistors with AlN gate insulator prepared by reactive magnetron sputteringStoklas, R. / Gregusova, D. / Gazi, S. / Novak, J. / Kordos, P. et al. | 2011
-
Rare-earth oxide/TiN gate stacks on high mobility strained silicon on insulator for fully depleted metal-oxide-semiconductor field-effect transistorsOzben, E.D. / Lopes, J.M.J. / Nichau, A. / Luptak, R. / Lenk, S. / Besmehn, A. / Bourdelle, K.K. / Zhao, Q.T. / Schubert, J. / Mantl, S. et al. | 2011
-
Initial stages of MnAs heteroepitaxy and nanoisland growth on GaAs(110) and (001) surfacesHirayama, M. / Bell, G.R. / Tsukamoto, S. et al. | 2011
-
Density multiplication of nanostructures fabricated by ultralow voltage electron beam lithography using PMMA as positive- and negative-tone resistAdeyenuwo, A.P. / Stepanova, M. / Dew, S.K. et al. | 2011
-
Metrology development for extreme ultraviolet lithography: Flare and out-of-band qualificationLorusso, G.F. / Hendrickx, E. / Davydova, N. / Peng, Y. / Eurlings, M. / Feenstra, K. / Jiang, J. et al. | 2011
-
Review Article: Rare-earth monosulfides as durable and efficient cold cathodesCahay, M. / Boolchand, P. / Fairchild, S.B. / Grazulis, L. / Murray, P.T. / Back, T.C. / Semet, V. / Binh, V.T. / Wu, X. / Poitras, D. et al. | 2011