Field-emission properties of carbon nanotubes grown using Cu–Cr catalysts (Englisch)
- Neue Suche nach: Zhang, Zhejuan
- Neue Suche nach: Chua, Daniel H. C.
- Neue Suche nach: Gao, Yang
- Neue Suche nach: Zhang, Yanping
- Neue Suche nach: Tang, Zhe
- Neue Suche nach: Tay, Beng Kang
- Neue Suche nach: Feng, Tao
- Neue Suche nach: Sun, Zhuo
- Neue Suche nach: Chen, Yiwei
- Neue Suche nach: Zhang, Zhejuan
- Neue Suche nach: Chua, Daniel H. C.
- Neue Suche nach: Gao, Yang
- Neue Suche nach: Zhang, Yanping
- Neue Suche nach: Tang, Zhe
- Neue Suche nach: Tay, Beng Kang
- Neue Suche nach: Feng, Tao
- Neue Suche nach: Sun, Zhuo
- Neue Suche nach: Chen, Yiwei
In:
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
;
27
, 1
;
41-46
;
2009
- Aufsatz (Zeitschrift) / Elektronische Ressource
-
Titel:Field-emission properties of carbon nanotubes grown using Cu–Cr catalysts
-
Weitere Titelangaben:Field-emission properties of carbon nanotubes
-
Beteiligte:Zhang, Zhejuan ( Autor:in ) / Chua, Daniel H. C. ( Autor:in ) / Gao, Yang ( Autor:in ) / Zhang, Yanping ( Autor:in ) / Tang, Zhe ( Autor:in ) / Tay, Beng Kang ( Autor:in ) / Feng, Tao ( Autor:in ) / Sun, Zhuo ( Autor:in ) / Chen, Yiwei ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: American Vacuum Society
-
Erscheinungsdatum:01.01.2009
-
Format / Umfang:6 pages
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
Inhaltsverzeichnis – Band 27, Ausgabe 1
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Thick membrane operated rf microelectromechanical system switch with low actuation voltageKim, Jongseok / Kwon, Sangwook / Hong, Youngtack / Jeong, Heemoon / Song, Insang / Ju, Byeongkwon et al. | 2009
- 6
-
Deprotection blur in extreme ultraviolet photoresists: Influence of base loading and post-exposure bake temperatureAnderson, Christopher N. / Naulleau, Patrick P. et al. | 2009
- 11
-
Thermal infrared detection using dipole antenna-coupled metal-oxide-metal diodesBean, Jeffrey A. / Tiwari, Badri / Bernstein, Gary H. / Fay, P. / Porod, Wolfgang et al. | 2009
- 15
-
Development of a vacuum packaged nanodiamond lateral field emission deviceSubramanian, K. / Schroeder, R. / Kang, W. P. / Davidson, J. L. et al. | 2009
- 19
-
Duplication of nanoimprint templates by a novel SU-8//PMMA trilayer techniqueWan, Jing / Shu, Zhen / Deng, Shao-Ren / Xie, Shen-Qi / Lu, Bing-Rui / Liu, Ran / Chen, Yifang / Qu, Xin-Ping et al. | 2009
- 23
-
A silicon-germanium W-structure photodiode for near-infrared detectionAli, Dyan / Thompson, Phillip / DiPasquale, Joseph / Richardson, Christopher J. K. et al. | 2009
- 28
-
Lift-off and hybrid applications with ma-n 1405 negative-tone resistAassime, A. / Mathet, V. et al. | 2009
- 33
-
Dependence of etch rates of silicon substrates on the use of and plasmas in the deposition step of the Bosch processRhee, Hyongmoo / Lee, Hae Min / Namkoung, Yun Mi / Kim, Chang-Koo / Chae, Heeyeop / Kim, Yil Wook et al. | 2009
- 41
-
Field-emission properties of carbon nanotubes grown using Cu–Cr catalystsZhang, Zhejuan / Chua, Daniel H. C. / Gao, Yang / Zhang, Yanping / Tang, Zhe / Tay, Beng Kang / Feng, Tao / Sun, Zhuo / Chen, Yiwei et al. | 2009
- 47
-
Detailed characterization of hydrogen silsesquioxane for e-beam applications in a dynamic random access memory pilot line environmentKeil, K. / Choi, K.-H. / Hohle, C. / Kretz, J. / Szikszai, L. / Bartha, J.-W. et al. | 2009
- 52
-
Some peculiarities of resist-profile simulation for positive-tone chemically amplified resists in electron-beam lithographyVutova, K. / Koleva, E. / Mladenov, G. / Kostic, I. et al. | 2009
- 58
-
Absorber stack with transparent conductive oxide layer for extreme ultraviolet lithographyKang, Hee Young / Hwangbo, Chang Kwon et al. | 2009
- 61
-
Fabrication of nanoscale bioarrays for the study of cytoskeletal protein binding interactions using nanoimprint lithographySchvartzman, M. / Nguyen, K. / Palma, M. / Abramson, J. / Sable, J. / Hone, J. / Sheetz, M. P. / Wind, S. J. et al. | 2009
- 66
-
Latest results from the SEMATECH Berkeley extreme ultraviolet microfield exposure toolNaulleau, Patrick P. / Anderson, Christopher N. / Chiu, Jerrin / Dean, Kim / Denham, Paul / George, Simi / Goldberg, Kenneth A. / Hoef, Brian / Jones, Gideon / Koh, Chawon et al. | 2009
- 71
-
Study of interface degradation of Hf-silicate gate dielectrics during thermal nitridation processSon, S. Y. / Jang, J. H. / Kumar, P. / Singh, R. K. / Yuh, J. H. / Cho, H. / Kang, C. J. et al. | 2009
- 76
-
Self-assembly solder process to form three-dimensional structures on siliconRao, M. / Lusth, J. C. / Burkett, S. L. et al. | 2009
- 81
-
superlattice films deposited on atomic-scale flattened sapphire substrates for dilute magnetic semiconductor applicationsSeong, Nak-Jin / Jiang, Juan / Yoon, Soon-Gil et al. | 2009
- 85
-
Forbidden pitch improvement using modified illumination in lithographyLing, M. L. / Tay, C. J. / Quan, C. / Chua, G. S. / Lin, Q. et al. | 2009
- 92
-
Plasma-surface interactions of advanced photoresists with discharges: Plasma parameter dependenciesEngelmann, S. / Bruce, R. L. / Sumiya, M. / Kwon, T. / Phaneuf, R. / Oehrlein, G. S. / Andes, C. / Graves, D. / Nest, D. / Hudson, E. A. et al. | 2009
- 107
-
Band bending and adsorption/desorption kinetics on N-polar GaN surfacesChoi, Soojeong / Kim, Tong-Ho / Wu, Pae / Brown, April / Everitt, Henry O. / Losurdo, Maria / Bruno, Giovanni et al. | 2009
- 113
-
Plasma reactor dry cleaning strategy after TaC, MoN, WSi, W, and WN etching processesRamos, R. / Cunge, G. / Joubert, O. / Lill, T. et al. | 2009
- 122
-
Transformation of polycrystalline tungsten to monocrystalline tungsten W(100) and its potential application in Schottky emittersDokania, A. K. / Hendrikx, Ruud / Kruit, P. et al. | 2009
- 126
-
Interface dependent electrical properties of amorphous thin film transistorsLim, Wantae / Jang, Jung Hun / Kim, S.-H. / Norton, D. P. / Craciun, V. / Pearton, S. J. / Ren, F. / Chen, H. et al. | 2009
- 130
-
Five-element circuit model using linear-regression method to correct the admittance measurement of metal-oxide-semiconductor capacitorCheng, Chao-Ching / Chien, Chao-Hsin / Luo, Guang-Li / Liu, Jun-Cheng / Chen, Yi-Cheng / Chang, Yao-Feng / Wang, Shin-Yuan / Kei, Chi-Chung / Hsiao, Chien-Nan / Chang, Chun-Yen et al. | 2009
- 134
-
Metal nanowire fabrication by force microscopy lithography using amorphous arsenic sulfide resist layerFonseca Filho, H. D. / Prioli, R. / Maurício, M. H. P. et al. | 2009
- 139
-
Electron optics of skewed micro-Einzel lensesvan Bruggen, M. J. / van Someren, B. / Kruit, P. et al. | 2009
- 148
-
Synthesis, structural and magnetic properties of epitaxial thin films by molecular beam epitaxyCheng, J. / Lazarov, V. K. / Sterbinsky, G. E. / Wessels, B. W. et al. | 2009
- 152
-
Near field emission scanning electron microscopyKirk, T. L. / Ramsperger, U. / Pescia, D. et al. | 2009
- 156
-
-planarized and transistor outlook-packaged oxide-confined vertical-cavity surface-emitting lasers with ring-shape geometry for high-speed operationTsai, Chia-Lung / Lin, Jia-Qing / Lee, Feng-Ming / Chou, Yi-Lun / Wu, Meng-Chyi et al. | 2009
- 161
-
REBL: A novel approach to high speed maskless electron beam direct write lithographyPetric, Paul / Bevis, Chris / Carroll, Allen / Percy, Henry / Zywno, Marek / Standiford, Keith / Brodie, Alan / Bareket, Noah / Grella, Luca et al. | 2009
- 167
-
Mitigation of microloading effect in nanoimprint mask fabricationMurali, Raghunath et al. | 2009
- 169
-
Computational and experimental studies of phase separation in mixturesZheng, Ying / Pregler, Sharon K. / Myers, Jason D. / Ouyang, Jiaomin / Sinnott, Susan B. / Xue, Jiangeng et al. | 2009
- 180
-
Stable tungsten disilicide contacts for surface and thin film resistivity measurementsJnawali, G. / Meyer zu Heringdorf, F.-J. / Wall, D. / Sindermann, S. / Horn-von Hoegen, M. et al. | 2009
- 184
-
DNA directed assembly of nanoparticle linear structure for nanophotonicsDing, Baoquan / Cabrini, Stefano / Zuckermann, Ronald N. / Bokor, Jeffrey et al. | 2009
- 188
-
Two-step resist-development process of hydrogen silsesquioxane for high-density electron-beam nanopatterningLee, Hyo-Sung / Wi, Jung-Sub / Nam, Sung-Wook / Kim, Hyun-Mi / Kim, Ki-Bum et al. | 2009
- 193
-
Electrical properties of nanotip-assisted microplasma devicesKim, Sung-O. / Ko, Yang-Suk / Gu, Hal-Bon et al. | 2009
- 198
-
Erratum: “Synthesis of nitrogen passivated rare-earth doped hafnia thin films and high temperature electrochemical conduction studies” [Ramanathan, Shriram / Karthikeyan, Annamalai / Govindarajan, S. A. / Kirsh, Paul D. et al. | 2009
J. Vac. Sci. Technol. B 26, L33 (2008)] - 199
-
Erratum: “Thermal conductivity of B–C–N and BN nanotubes” [J. Vac. Sci. Technol. B 23, 1883 (2005)]Chang, C. W. / Han, W. Q. / Zettl, Alex et al. | 2009
- 209
-
Alternative high- dielectrics for semiconductor applicationsVan Elshocht, S. / Adelmann, C. / Clima, S. / Pourtois, G. / Conard, T. / Delabie, A. / Franquet, A. / Lehnen, P. / Meersschaut, J. / Menou, N. et al. | 2009
- 214
-
Enhancement mode GaAs metal-oxide-semiconductor field-effect-transistor integrated with thin AlN surface passivation layer and silicon/phosphorus coimplanted source/drainGao, Fei / Lee, S. J. / Kwong, D. L. et al. | 2009
- 218
-
metal-oxide-semiconductor high electron mobility transistor with insulating films grown by metal organic chemical vapor deposition using Ar and carrier gasesČičo, K. / Kuzmík, J. / Liday, J. / Hušeková, K. / Pozzovivo, G. / Carlin, J.-F. / Grandjean, N. / Pogany, D. / Vogrinčič, P. / Fröhlich, K. et al. | 2009
- 223
-
Ammonia-free deposition of silicon nitride films using pulsed-plasma chemical vapor deposition under near atmospheric pressureMatsumoto, M. / Inayoshi, Y. / Murashige, S. / Suemitsu, M. / Nakajima, S. / Uehara, T. / Toyoshima, Y. et al. | 2009
- 226
-
Behavior of zirconium oxide films processed from novel monocyclopentadienyl precursors by atomic layer depositionKukli, Kaupo / Niinistö, Jaakko / Tamm, Aile / Ritala, Mikko / Leskelä, Markku et al. | 2009
- 230
-
Effect of annealing and electrical properties of high- kappa thin films grown by atomic layer deposition using carboxylic acids as oxygen sourceRauwel, E. / Ducroquet, F. / Rauwel, P. / Willinger, M.G. / Matko, I. / Pinna, N. et al. | 2009
- 230
-
Effect of annealing and electrical properties of high- thin films grown by atomic layer deposition using carboxylic acids as oxygen sourceRauwel, E. / Ducroquet, F. / Rauwel, P. / Willinger, M.-G. / Matko, I. / Pinna, N. et al. | 2009
- 236
-
Study of metal oxide-semiconductor capacitors with rf magnetron sputtering and gate dielectric layerAlbertin, K. F. / Pereyra, I. et al. | 2009
- 246
-
Metal-oxide-semiconductor devices on -type Ge with and as gate dielectric and the effect of postmetallization annealGalata, S. F. / Mavrou, G. / Tsipas, P. / Sotiropoulos, A. / Panayiotatos, Y. / Dimoulas, A. et al. | 2009
- 249
-
Gd silicate: A high- dielectric compatible with high temperature annealingGottlob, H. D. B. / Stefani, A. / Schmidt, M. / Lemme, M. C. / Kurz, H. / Mitrovic, I. Z. / Werner, M. / Davey, W. M. / Hall, S. / Chalker, P. R. et al. | 2009
- 253
-
Optimization of the AlON buffer layer for stacksHenkel, K. / Burkov, Y. / Karavaev, K. / Torche, M. / Schwiertz, C. / Schmeißer, D. et al. | 2009
- 258
-
Complementary metal oxide semiconductor integration of epitaxialLemme, M. C. / Gottlob, H. D. B. / Echtermeyer, T. J. / Schmidt, M. / Kurz, H. / Endres, R. / Schwalke, U. / Czernohorkky, M. / Tetzlaff, D. / Osten, H. J. et al. | 2009
- 262
-
Structure dependence of epitaxial on oxygen pressure during growthWatahiki, Tatsuro / Braun, Wolfgang / Riechert, Henning et al. | 2009
- 266
-
Epitaxial growth of high- rutile films on electrodesFröhlich, K. / Aarik, J. / Ťapajna, M. / Rosová, A. / Aidla, A. / Dobročka, E. / Hušková, K. et al. | 2009
- 271
-
On the band gaps and electronic structure of thin single crystalline praseodymium oxide layers on Si(111)Seifarth, O. / Dabrowski, J. / Zaumseil, P. / Müller, S. / Schmeißer, D. / Müssig, H.-J. / Schroeder, T. et al. | 2009
- 277
-
Band offsets and work function control in field effect transistorsRobertson, John et al. | 2009
- 286
-
Influence of the electrode material on metal-insulator-metal capacitorsWenger, Ch. / Lukosius, M. / Müssig, H.-J. / Ruhl, G. / Pasko, S. / Lohe, Ch. et al. | 2009
- 290
-
Effective work function engineering by lanthanide ion implantation of metal-oxide semiconductor gate stacksFet, A. / Häublein, V. / Bauer, A. J. / Ryssel, H. et al. | 2009
- 294
-
Predeposition plasma nitridation process applied to Ge substrates to passivate interfaces between crystalline-Ge substrates and Hf-based high- dielectricsLucovsky, G. / Long, J. P. / Chung, K.-B. / Seo, H. / Watts, B. / Vasic, R. / Ulrich, M. D. et al. | 2009
- 300
-
interface formation in atomic layer deposition films: An in situ investigationTallarida, Massimo / Karavaev, Konstantin / Schmeisser, Dieter et al. | 2009
- 305
-
Structure and defects of epitaxial Si(111) layers on support systemsBorschel, C. / Ronning, C. / Hofsäss, H. / Giussani, A. / Zaumseil, P. / Wenger, Ch. / Storck, P. / Schroeder, T. et al. | 2009
- 310
-
Identification of interfacial defects in high- gate stack films by spectroscopic ellipsometryPrice, J. / Bersuker, G. / Lysaght, P. S. et al. | 2009
- 313
-
Influence of ratio on the electrical properties of metal-ferroelectric -insulator -semiconductor capacitors fabricated by rf magnetron sputteringJuan, Trevor Pi-Chun / Lu, Jong-Hong / Lu, Ming-Wei et al. | 2009
- 317
-
Electron paramagnetic resonance characterization of defects in and powders and filmsBarklie, R. C. / Wright, Sandra et al. | 2009
- 321
-
Trap related dielectric absorption of HfSiO films in metal-insulator-semiconductor structuresKerber, M. / Fachmann, C. / Heitmann, J. / Kudelka, S. / Schröder, U. / Reisinger, H. et al. | 2009
- 325
-
Hafnium oxide thin films: Effect of growth parameters on oxygen and hafnium vacanciesHildebrandt, E. / Kurian, J. / Zimmermann, J. / Fleissner, A. / von Seggern, H. / Alff, L. et al. | 2009
- 329
-
Spatial distribution of electrically active defects in dual-layer gate dielectric -type metal oxide semiconductor field effect transistorsNguyen, T. / Savio, A. / Militaru, L. / Plossu, C. et al. | 2009
- 333
-
Frequency dispersion and dielectric relaxation ofZhao, C. Z. / Taylor, S. / Werner, M. / Chalker, P. R. / Gaskell, J. M. / Jones, A. C. et al. | 2009
- 338
-
Analytical modeling of tunneling current through stacks in metal oxide semiconductor structuresCoignus, J. / Clerc, R. / Leroux, C. / Reimbold, G. / Ghibaudo, G. / Boulanger, F. et al. | 2009
- 346
-
Analytical modeling of the tunneling probability through the double-layer gate stacksMajkusiak, B. et al. | 2009
- 352
-
Leakage current effects on plots of high- metal-oxide-semiconductor capacitorsLu, Y. / Hall, S. / Tan, L. Z. / Mitrovic, I. Z. / Davey, W. M. / Raeissi, B. / Engström, O. / Cherkaoui, K. / Monaghan, S. / Hurley, P. K. et al. | 2009
- 356
-
Comparison of standard macroscopic and conductive atomic force microscopy leakage measurements on gate removed high- capacitorsPolspoel, W. / Vandervorst, W. / Aguilera, L. / Porti, M. / Nafria, M. / Aymerich, X. et al. | 2009
- 360
-
Development of a conductive atomic force microscope with a logarithmic current-to-voltage converter for the study of metal oxide semiconductor gate dielectrics reliabilityAguilera, L. / Lanza, M. / Bayerl, A. / Porti, M. / Nafria, M. / Aymerich, X. et al. | 2009
- 364
-
Correlation of microscopic and macroscopic electrical characteristics of high- thin films using tunneling atomic force microscopyWeinreich, W. / Wilde, L. / Kücher, P. / Lemberger, M. / Yanev, V. / Rommel, M. / Bauer, A. J. / Erben, E. / Heitmann, J. / Schröder, U. et al. | 2009
- 369
-
Electrical characteristics of metal-ferroelectric -insulator -semiconductor capacitors and field-effect transistorsLin, Chih-Ming / Shih, Wen-chieh / Lee, Joseph Ya-min et al. | 2009
- 373
-
Electrical properties of amorphous barium titanate films sputter deposited under hydrogen containing atmosphereEl Kamel, F. / Gonon, P. / Sylvestre, A. / Vallée, C. et al. | 2009
- 378
-
Physicochemical and electrical characterizations of atomic layer deposition grown on TiN and Pt for metal-insulator-metal applicationJorel, C. / Vallée, C. / Gourvest, E. / Pelissier, B. / Kahn, M. / Bonvalot, M. / Gonon, P. et al. | 2009
- 384
-
Impact of a gamma-Al2O3(001) barrier on LaAlO3 metal-oxide-semiconductor capacitor electrical propertiesBecerra, L. / Merckling, C. / El-Kazzi, M. / Baboux, N. / Vilquin, B. / Saint-Girons, G. / Plossu, C. / Hollinger, G. et al. | 2009
- 384
-
Impact of a barrier on metal-oxide-semiconductor capacitor electrical propertiesBecerra, L. / Merckling, C. / El-Kazzi, M. / Baboux, N. / Vilquin, B. / Saint-Girons, G. / Plossu, C. / Hollinger, G. et al. | 2009
- 389
-
Electrical properties of thin zirconium and hafnium oxide high- gate dielectrics grown by atomic layer deposition from cyclopentadienyl and ozone precursorsDueñas, S. / Castán, H. / Garcia, H. / Gómez, A. / Bailón, L. / Kukli, K. / Niinistö, J. / Ritala, M. / Leskelä, M. et al. | 2009
- 394
-
Different mechanism to explain the noise in - and -SOI-MOS transistors fabricated on (110) and (100) silicon-oriented wafersGaubert, Philippe / Teramoto, Akinobu / Cheng, Weitao / Hamada, Tatsufumi / Ohmi, Tadahiro et al. | 2009
- 402
-
Low frequency noise analysis in gate oxide fully depleted silicon on insulator transistorsZafari, L. / Jomaah, J. / Ghibaudo, G. / Faynot, O. et al. | 2009
- 406
-
Radiation damage on dielectrics: Single event effectsPaccagnella, Alessandro / Gerardin, Simone / Cellere, Giorgio et al. | 2009
- 411
-
High- materials and their response to gamma ray radiationZhao, C. Z. / Taylor, S. / Werner, M. / Chalker, P. R. / Potter, R. J. / Gaskell, J. M. / Jones, A. C. et al. | 2009
- 416
-
Irradiation effect on dielectric properties of hafnium and gadolinium oxide gate dielectricsGarcía, H. / Dueñas, S. / Castán, H. / Gómez, A. / Bailón, L. / Barquero, R. / Kukli, K. / Ritala, M. / Leskelä, M. et al. | 2009
- 421
-
Implanted and irradiated structure electrical properties at the nanoscalePorti, M. / Nafria, N. / Gerardin, S. / Aymerich, X. / Cester, A. / Paccagnella, A. / Ghidini, G. et al. | 2009
- 426
-
Review on the reliability characterization of plasma-induced damageMartin, Andreas et al. | 2009
- 435
-
Stress-induced leakage current and random telegraph signalTeramoto, Akinobu / Kumagai, Yuki / Abe, Kenichi / Fujisawa, Takafumi / Watabe, Shunichi / Suwa, Tomoyuki / Miyamoto, Naoto / Sugawa, Shigetoshi / Ohmi, Tadahiro et al. | 2009
- 439
-
Investigation of voltage dependent relaxation, charge trapping, and stress induced leakage current effects in gate stacks grown on Ge (100) substratesRahman, M. S. / Evangelou, E. K. / Androulidakis, I. I. / Dimoulas, A. / Mavrou, G. / Tsipas, P. et al. | 2009
- 443
-
Breakdown and degradation of ultrathin Hf-based gate oxide filmsUppal, H. J. / Mitrovic, I. Z. / Hall, S. / Hamilton, B. / Markevich, V. / Peaker, A. R. et al. | 2009
- 448
-
Impact of progressive oxide soft breakdown on metal oxide semiconductor parameters: Experiment and modelingGerrer, L. / Ribes, G. / Ghibaudo, G. / Jomaah, J. et al. | 2009
- 453
-
Progressive degradation of and gate stack triple gate SOI nFinFETs subjected to electrical stressRafí, J. M. / Simoen, E. / Mercha, A. / Collaert, N. / Campabadal, F. / Claeys, C. et al. | 2009
- 459
-
Investigation of Bias-Temperature Instability in work-function-tuned high-/metal-gate stacksKaczer, B. / Veloso, A. / Roussel, Ph. J. / Grasser, T. / Groeseneken, G. et al. | 2009
- 463
-
Impact of nitridation on recoverable and permanent negative bias temperature instability degradation in high-/metal-gate -type metal oxide semiconductor field effect transistorsAoulaiche, M. / Kaczer, B. / Roussel, Ph. J. / O’Connor, R. / Houssa, M. / De Gendt, S. / Maes, H. E. / Groeseneken, G. et al. | 2009
- 468
-
Hot carrier degradation in fin shaped field effect transistor with different substrate orientationsYoung, Chadwin D. / Yang, Ji-Woon / Matthews, Kenneth / Suthram, Sagar / Hussain, Muhammad Mustafa / Bersuker, Gennadi / Smith, Casey / Harris, Rusty / Choi, Rino / Lee, Byoung Hun et al. | 2009
- 472
-
interfacial layer as the origin of the breakdown of high- dielectrics stacksRafik, M. / Ribes, G. / Roy, D. / Ghibaudd, G. et al. | 2009
- 476
-
stack with enhanced reliabilityLisiansky, M. / Fenigstein, A. / Heiman, A. / Raskin, Y. / Roizin, Y. / Bartholomew, L. / Owyang, J. / Gladkikh, A. / Brener, R. / Geppert, I. et al. | 2009
- 482
-
Suppression of parasitic electron injection in silicon-oxide-nitride-oxide-silicon-type memory cells using high- capping layersErlbacher, T. / Graf, T. / DasGupta, N. / Bauer, A. J. / Ryssel, H. et al. | 2009
- 486
-
Contact etch stop layer: A key factor for single polysilicon flash memory data retentiona)Beylier, G. / Benoit, D. / Mora, P. / Bruyère, S. / Ghibaudo, G. et al. | 2009
- 486
-
Contact etch stop a-SixNy:H layer: a key factor for single polysilicon flash memory data retentionBeylier, G. / Benoit, D. / Mora, P. / Bruyere, S. / Ghibaudo, G. et al. | 2009
- 490
-
On the impact of silicon nitride technology on charge trap NAND memoriesSebastiani, A. / Scozzari, C. / Mauri, A. / Modelli, A. / Albini, G. / Piagge, R. / Bacciaglia, P. / Del Vitto, A. / Alessandri, M. / Grossi, A. et al. | 2009
- 494
-
Application of plasma enhanced chemical vapor deposition silicon oxynitride layers in nonvolatile semiconductor memory devicesMroczyński, Robert / Beck, Romuald B. et al. | 2009
- 498
-
Ferroelectric nanostructuresVrejoiu, Ionela / Alexe, Marin / Hesse, Dietrich / Gösele, Ulrich et al. | 2009
- 504
-
Electrical investigations on metal/ferroelectric/insulator/semiconductor structures using poly[vinylidene fluoride trifluoroethylene] as ferroelectric layer for organic nonvolatile memory applicationsHenkel, K. / Lazareva, I. / Mandal, D. / Paloumpa, I. / Müller, K. / Koval, Y. / Müller, P. / Schmeißer, D. et al. | 2009
- 508
-
Interface states formation in a localized charge trapping nonvolatile memory deviceShapira, Asia / Shur, Yael / Shacham-Diamand, Yosi / Shappir, Assaf / Eitan, Boaz et al. | 2009
- 512
-
Peculiar characteristics of nanocrystal memory cells programming windowGasperin, Alberto / Amat, Esteve / Martin, Javier / Porti, Marc / Nafria, Montserrat / Paccagnella, Alessandro et al. | 2009
- 517
-
Low- dielectrics for trench isolation in nanoscaled complementary metal oxide semiconductor imagersIrrera, F. / Puzzilli, G. / Ricci, L. / Russo, F. / Stirpe, F. et al. | 2009
- 521
-
Improved characterization of Fourier transform infrared spectra analysis for post-etched ultra-low- SiOCH dielectric using chemometric methodsOszinda, Thomas / Beyer, Volkhard / Schaller, Matthias / Fischer, Daniel / Bartsch, Christin / Schulz, Stefan E. et al. | 2009
- 521
-
Improved characterization of Fourier transform infrared spectra analysis for post-etched ultra-low- kappa SiOCH dielectric using chemometric methodsOszinda, T. / Beyer, V. / Schaller, M. / Fischer, D. / Bartsch, C. / Schulz, S.E. et al. | 2009
- 527
-
Study on the characteristics of toluene-tetraethoxysilane hybrid plasma-polymer thin filmsCho, S.-J. / Bae, I.-S. / Lee, S. / Jung, D. / Choi, W. S. / Boo, J.-H. et al. | 2009
- 531
-
Photoluminescence from high-pressure-annealed silicon dioxideWong, C. K. / Misiuk, A. / Wong, Hei / Panas, A. et al. | 2009
- 535
-
Light emission and photoluminescence from high- dielectrics containing Ge nanocrystalsChang, Shu-Tong / Liao, Shu-Hui et al. | 2009
- 538
-
Gas sensing materials based on thin filmsIftimie, Nicoleta / Luca, D. / Lacomi, Felicia / Girtan, Mihaela / Mardare, Diana et al. | 2009
- 542
-
Mechanical properties of anodic aluminum oxide for microelectromechanical system applicationsMoreno-Hagelsieb, L. / Flandre, D. / Raskin, J.-P. et al. | 2009
- 547
-
Initial oxidation of Si(110) as studied by real-time synchrotron-radiation x-ray photomission spectroscopySuemitsu, M. / Yamamoto, Y. / Togashi, H. / Enta, Y. / Yoshigoe, A. / Teraoka, Y. et al. | 2009