Comprehensive model of electron energy deposition (Englisch)
- Neue Suche nach: Han, Geng
- Neue Suche nach: Khan, Mumit
- Neue Suche nach: Fang, Yanghua
- Neue Suche nach: Cerrina, Franco
- Neue Suche nach: Han, Geng
- Neue Suche nach: Khan, Mumit
- Neue Suche nach: Fang, Yanghua
- Neue Suche nach: Cerrina, Franco
In:
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
;
20
, 6
;
2666-2671
;
2002
- Aufsatz (Zeitschrift) / Elektronische Ressource
-
Titel:Comprehensive model of electron energy deposition
-
Beteiligte:Han, Geng ( Autor:in ) / Khan, Mumit ( Autor:in ) / Fang, Yanghua ( Autor:in ) / Cerrina, Franco ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: American Vacuum Society
-
Erscheinungsdatum:01.11.2002
-
Format / Umfang:6 pages
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
Inhaltsverzeichnis – Band 20, Ausgabe 6
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 2183
-
Comparison of atomic force microscopy imaging methods and roughness determinations for a highly polished quartz surfaceDokou, Eleni / Zhang, Lanping / Barteau, Mark A. et al. | 2002
- 2187
-
Initial oxidation phenomena of heavily phosphorus-doped silicon in dry oxygenKamiura, Y. / Hasegawa, K. / Sano, Y. / Mizokawa, Y. / Kawamoto, K. et al. | 2002
- 2192
-
Silicon oxide contact hole etching employing an environmentally benign processFujita, Kazushi / Hori, Masaru / Goto, Toshio / Ito, Masafumi et al. | 2002
- 2199
-
Simulations and experiments of etching of silicon in HBr plasmas for high aspect ratio featuresHwang, Helen H. / Meyyappan, M. / Mathad, G. S. / Ranade, R. et al. | 2002
- 2206
-
Resist distribution effect of spin coatingKim, Sang-Kon / Yoo, Ji-Yong / Oh, Hye-Keun et al. | 2002
- 2210
-
Reflection high-energy electron diffraction observation of the dynamics of semiconductor quantum dot formation and decayFinnie, P. / Riel, B. J. / Wasilewski, Z. R. et al. | 2002
- 2214
-
Improved surface treatments for recycled (100) GaAs substrates in view of molecular-beam epitaxy growth: Auger electron spectroscopy, Raman, and secondary ion mass spectrometry analysesNégri, Fabienne / Bedel-Pereira, Eléna et al. | 2002
- 2219
-
Comparison of in situ and ex situ plasma-treated metalorganic chemical vapor deposition titanium nitride thin filmsLim, Boon Kiat / Park, Hun Sub / See, Alex K. H. / Liu, Er Zhuang / Wu, Shao Hui et al. | 2002
- 2225
-
Effect of implant oxide on ultrashallow junction formationLindsay, R. / Lauwers, A. / Frühauf, J. / de Potter, M. / Maex, K. et al. | 2002
- 2229
-
Polymer thickness effects on Bosch etch profilesCraigie, C. J. D. / Sheehan, T. / Johnson, V. N. / Burkett, S. L. / Moll, A. J. / Knowlton, W. B. et al. | 2002
- 2233
-
Leveling effects of copper electrolytes with hybrid-mode additivesLin, Kun-Cheng / Shieh, Jia-Min / Chang, Shih-Chieh / Dai, Bau-Tong / Chen, Chia-Fu / Feng, Ming-Shiann / Li, Ying-Hao et al. | 2002
- 2238
-
Study of focused ion beam response of GaAs in the nanoscale regimeLugstein, A. / Basnar, B. / Bertagnolli, E. et al. | 2002
- 2243
-
Influence of the surface Si/buried oxide interface on extended defect evolution in silicon-on-insulator scaled to 300 ÅSaavedra, A. F. / Frazer, J. / Jones, K. S. / Avci, I. / Earles, S. K. / Law, M. E. / Jones, E. C. et al. | 2002
- 2248
-
Submicron pattern transfer to binary semiconductors via micromolding in capillariesPisignano, Dario / Gigli, Giuseppe / Visconti, Paolo / Zocco, Anna / Perrone, Alessio / Cingolani, Roberto et al. | 2002
- 2252
-
Dry etching of GaP with emphasis on selective etching over AlGaPEpple, J. H. / Sanchez, C. / Chung, T. / Cheng, K. Y. / Hsieh, K. C. et al. | 2002
- 2256
-
Comparative study of Ga- and N-polar GaN films grown on sapphire substrates by molecular beam epitaxyHuang, D. / Reshchikov, M. A. / Visconti, P. / Yun, F. / Baski, A. A. / King, T. / Morkoç, H. / Jasinski, J. / Liliental-Weber, Z. / Litton, C. W. et al. | 2002
- 2265
-
Cryogenic cleavage used in gold substrate productionMazurkiewicz, Jakub / Mearns, Freya J. / Losic, Dusan / Weeks, Laura / Waclawik, Eric R. / Rogers, Craig T. / Shapter, Joseph G. / Gooding, J. Justin et al. | 2002
- 2271
-
Beam-focusing characteristics of the diamond-film field emission arrays with parallel emitter, gates, and in-plane lensZeng, Baoqing / Liu, Yang / Yang, Zhonghai / Qian, Shangjie / Yang, Chongfeng et al. | 2002
- 2275
-
Plasma assisted deposition of nanocrystalline BCN thin films and property characterizationCao, Z. X. / Liu, L. M. / Oechsner, H. et al. | 2002
- 2281
-
Evaluation of the ion bombardment energy on silicon dioxide films deposited from plasmas on Si and unstrained substratesGoghero, D. / Goullet, A. / Lebrizoual, L. / Meyer, F. / Turban, G. et al. | 2002
- 2288
-
Investigation of active Si pitting and its impact on 0.15 and n-type metal–oxide–semiconductor and p-type metal–oxide–semiconductor transistorsChua, C. S. / Chor, E. F. / Goh, F. / See, A. / Chan, L. et al. | 2002
- 2295
-
Investigations of pulse current electrodeposition for damascene copper metalsChang, Shih-Chieh / Shieh, Jia-Min / Dai, Bau-Tong / Feng, Ming-Shiann et al. | 2002
- 2299
-
Effects of Ar inductively coupled plasma exposure on 4H-SiC Schottky rectifiersIp, K. / Nigam, S. / Lee, K. P. / Baik, K. H. / Chung, G. Y. / MacMillan, M. F. / Ren, F. / Pearton, S. J. et al. | 2002
- 2303
-
Structure and size distribution of nanoparticles deposited on stainless steel meshLi, W. / Shah, S. Ismat / Sung, M. / Huang, C.-P. et al. | 2002
- 2309
-
Fabrication of Si field emitter arrays integrated with metal–oxide–semiconductor field-effect transistor driving circuitsNagao, M. / Tamura, Y. / Matsukawa, T. / Kanemaru, S. / Itoh, J. / Tokunaga, K. et al. | 2002
- 2314
-
Texture and resistivity of dilute binary Cu(Al), Cu(In), Cu(Ti), Cu(Nb), Cu(Ir), and Cu(W) alloy thin filmsGungor, A. / Barmak, K. / Rollett, A. D. / Cabral, C. / Harper, J. M. E. et al. | 2002
- 2320
-
Direct printing of polymer microstructures on flat and spherical surfaces using a letterpress techniqueMiller, Scott M. / Troian, Sandra M. / Wagner, Sigurd et al. | 2002
- 2328
-
Characteristics of ultrathin Ta and TaN filmsRossnagel, S. M. et al. | 2002
- 2337
-
Direct patterning of nanostructures by field-induced deposition from a scanning tunneling microscope tipHouel, A. / Tonneau, D. / Bonnail, N. / Dallaporta, H. / Safarov, V. I. et al. | 2002
- 2346
-
Electrical conductivity of sidewall-deposited fluorocarbon polymer in etching processesShimmura, T. / Soda, S. / Samukawa, S. / Koyanagi, M. / Hane, K. et al. | 2002
- 2351
-
Thickness metrology and end point control in W chemical vapor deposition process from using in situ mass spectrometryXu, Y. / Gougousi, T. / Henn-Lecordier, L. / Liu, Y. / Cho, S. / Rubloff, G. W. et al. | 2002
- 2361
-
Effects of Ti addition on the morphology, interfacial reaction, and diffusion of Cu onLiu, C. J. / Jeng, J. S. / Chen, J. S. / Lin, Y. K. et al. | 2002
- 2367
-
Assessment of extreme ultraviolet-induced charging of subtractive metal lithography masksKlebanoff, L. E. / Clift, W. M. / Franco, N. / Bostedt, C. / Terminello, L. J. et al. | 2002
- 2375
-
Morphology and luminescence of porous GaN generated via Pt-assisted electroless etchingDı́az, Diego J. / Williamson, Todd L. / Adesida, Ilesanmi / Bohn, Paul W. / Molnar, Richard J. et al. | 2002
- 2384
-
Photoresponse characteristics of heterojunction photodiodesChoi, Y. S. / Lee, J. Y. / Im, S. / Lee, S. J. et al. | 2002
- 2388
-
Scanning tunneling microscopy of endohedral metallofullerene on filmShi, Bo-Rong / Wang, Xue-Sen / Huang, Houjin / Yang, Shi-He / Bachmann, A. / Cue, Nelson et al. | 2002
- 2393
-
Radio-frequency discharge cleaning of silicon-capped Mo/Si multilayer extreme ultraviolet opticsGraham, Samuel / Steinhaus, Charles / Clift, Miles / Klebanoff, Leonard et al. | 2002
- 2401
-
Two-dimensional transverse cross-section nanopotentiometry of actively driven buried-heterostructure multiple-quantum-well lasersBan, D. / Sargent, E. H. / Dixon-Warren, St. J. / Calder, I. / Grevatt, T. / Knight, G. / White, J. K. et al. | 2002
- 2408
-
Near-surface deep-trap and bulk deep-trap states inHalder, N. C. / Genareau, Kimberly et al. | 2002
- 2413
-
Effect of photoacid generator concentration on sensitivity, photoacid generation, and deprotection of chemically amplified resistsPawloski, Adam R. / Nealey, Paul F. et al. | 2002
- 2421
-
Comparing ionized physical vapor deposition and high power magnetron copper seed depositionStout, P. J. / Zhang, D. / Rauf, S. / Ventzek, P. L. G. et al. | 2002
- 2433
-
Molybdenum-based nanostructured mixed oxides for sensing applications: Effect of the Mo oxide composition on the structure of sol–gel thin filmsTaurino, A. / Catalano, M. / Siciliano, P. / Galatsis, K. / Li, Y. X. / Wlodarski, W. et al. | 2002
- 2441
-
Near-surface electronic defects and morphology ofStrzhemechny, Y. M. / Smith, P. E. / Bradley, S. T. / Liao, D. X. / Rockett, A. A. / Ramanathan, K. / Brillson, L. J. et al. | 2002
- 2449
-
Development of the point diffraction interferometer for extreme ultraviolet lithography: Design, fabrication, and evaluationOtaki, K. / Ota, K. / Nishiyama, I. / Yamamoto, T. / Fukuda, Y. / Okazaki, S. et al. | 2002
- 2459
-
Fabrication of flexible polymer tubes for micro and nanofluidic applicationsIlic, B. / Czaplewski, D. / Zalalutdinov, M. / Schmidt, B. / Craighead, H. G. et al. | 2002
- 2466
-
Photoinduced organic nanowires from self-assembled monolayersQiao, Ying-Hong / Zeng, Qing-Dao / Tan, Zhong-Yin / Xu, Shan-Dong / Wang, Dong / Wang, Chen / Wan, Li-Jun / Bai, Chun-Li et al. | 2002
- 2473
-
Low energy electron microscopy studies of steps on single crystal thin films of refractory metalsOndrejcek, M. / Swiech, W. / Yang, G. / Flynn, C. P. et al. | 2002
- 2478
-
Low energy electron microscopy study of In on Si(111)Pavlovska, A. / Bauer, E. / Giessen, M. et al. | 2002
- 2492
-
Growth shapes of Ag crystallites on the Si(111) surfaceTang, W. X. / Man, K. L. / Huang, Hanchen / Woo, C. H. / Altman, M. S. et al. | 2002
- 2496
-
Low energy electron microscopy/diffraction study on growth of Ge on Si(113) surfaceYasue, T. / Koshikawa, T. / Bauer, E. et al. | 2002
- 2500
-
Growth dynamics of titanium silicide nanowires observed with low-energy electron microscopyBennett, P. A. / Ashcroft, B. / He, Zhian / Tromp, R. M. et al. | 2002
- 2505
-
In situ emission microscopy of field emitter cathode arraysMulhollan, G. A. / Jamison, K. D. / Kordesch, M. E. et al. | 2002
- 2509
-
Scanning photoelectron microscopy study of as-grown and heat-treated chemical vapor deposition boron-doped diamond filmsZakharov, A. A. / Ralchenko, V. / Khmelnitskii, R. / Lindau, I. et al. | 2002
- 2514
-
Photoelectron emission microscopy of ultrathin oxide covered devicesBallarotto, V. W. / Breban, M. / Siegrist, K. / Phaneuf, R. J. / Williams, E. D. et al. | 2002
- 2519
-
Comparison of stigmatically focusing magnetic prisms of square versus round symmetriesKan, H.-C. / Dürkop, T. / Phaneuf, R. J. et al. | 2002
- 2526
-
Correction of chromatic and spherical aberration in electron microscopy utilizing the time structure of pulsed excitation sourcesSchönhense, G. / Spiecker, H. et al. | 2002
- 2535
-
Scanning electron microscope with polarization analysis: Micromagnetic structures in ultrathin filmsOepen, Hans Peter / Steierl, Gerold / Kirschner, Jürgen et al. | 2002
- 2539
-
Low-energy electron microscopy/x-ray magnetic circular dichroism photoemission electron microscopy study of epitaxial MnAs on GaAsBauer, E. / Cherifi, S. / Daeweritz, L. / Kaestner, M. / Heun, S. / Locatelli, A. et al. | 2002
- 2543
-
Magnetic dichroisms in absorption and photoemission for magnetic characterization in x-ray photoelectron emission microscopyKuch, W. / Chelaru, L. I. / Offi, F. / Kotsugi, M. / Kirschner, J. et al. | 2002
- 2562
-
Effect of high numerical aperture lens on lithographic performance in 157 nm lithographyItani, Toshiro / Suganaga, Toshifumi / Kanda, Noriyoshi / Kim, Jae-Hwan / Watanabe, Kunio / Cashmore, Julian / Gower, Malcolm et al. | 2002
- 2567
-
Prospects for photolithography at 121 nmLiberman, V. / Rothschild, M. / Murphy, P. G. / Palmacci, S. T. et al. | 2002
- 2574
-
121.6 nm radiation source for advanced lithographyYan, Jianxun / El-Dakrouri, Ashraf / Laroussi, Mounir / Gupta, Mool C. et al. | 2002
- 2578
-
Optimizing vacuum ultraviolet attenuated phase shift masking materialsSmith, B. W. / Bourov, A. Y. / Liu, Y. et al. | 2002
- 2583
-
Polarization contact: Concept and initial assessmentLam, Michael / Neureuther, Andy et al. | 2002
- 2589
-
Optical imaging properties of dense phase shift feature patternsFritze, M. / Tyrrell, B. / Mallen, R. / Wheeler, B. / Rhyins, P. / Martin, P. et al. | 2002
- 2597
-
Parallel maskless optical lithography for prototyping, low-volume production, and researchGil, Dario / Menon, Rajesh / Tang, Xudong / Smith, Henry I. / Carter, D. J. D. et al. | 2002
- 2602
-
Interference pattern formation from an array of coherent laser beamsLiu, Y. / Barhen, J. / Braiman, Y. / Zhong, J. X. et al. | 2002
- 2606
-
Simulation of critical dimension error using Monte Carlo method and its experimental verificationZinn, Sonny Y. / Lee, Sung-Woo / Choi, Sung-Woon / Sohn, Jung-Min et al. | 2002
- 2610
-
Operational model for pattern and probe based aberration monitorsRobins, Garth / Neureuther, Andrew et al. | 2002
- 2617
-
Generalized scanning beam interference lithography system for patterning gratings with variable period progressionsPati, G. S. / Heilmann, R. K. / Konkola, P. T. / Joo, C. / Chen, C. G. / Murphy, E. / Schattenburg, M. L. et al. | 2002
- 2622
-
Electron projection lithography tool development statusMiura, Takaharu et al. | 2002
- 2627
-
Evolution of electron projection optics from variable axis immersion lenses to projection reduction exposure with variable axis immersion lensesStickel, W. / Pfeiffer, H. C. / Golladay, S. D. / Gordon, M. S. et al. | 2002
- 2634
-
Direct measurement of chromatic aberrations induced by continuous membrane maskYahiro, Takehisa / Suzuki, Shohei / Irita, Takeshi / Kawata, Shintaro / Suzuki, Kazuaki et al. | 2002
- 2640
-
Development of a mask-scan electron beam mask writerNishimura, Shinsuke / Shimizu, Mitsuko / Mitsui, Soichiro / Akeno, Kiminobu / Kusakabe, Hideo / Ogasawara, Munehiro / Hayashi, Ryuji / Yanaga, Shinji / Kobayashi, Noboru / Tojo, Toru et al. | 2002
- 2646
-
Digital electrostatic electron-beam array lithographyBaylor, L. R. / Lowndes, D. H. / Simpson, M. L. / Thomas, C. E. / Guillorn, M. A. / Merkulov, V. I. / Whealton, J. H. / Ellis, E. D. / Hensley, D. K. / Melechko, A. V. et al. | 2002
- 2651
-
Maskless lithography using low-energy electron beam: Recent results for proof-of-concept systemNakasugi, T. / Ando, A. / Inanami, R. / Sasaki, N. / Ota, T. / Nagano, O. / Yamazaki, Y. / Sugihara, K. / Mori, I. / Miyoshi, M. et al. | 2002
- 2657
-
Prototype raster multibeam lithography toolCoyle, S. T. / Holmgren, D. / Chen, X. / Thomas, T. / Sagle, A. / Maldonado, J. / Shamoun, B. / Allen, P. / Gesley, M. et al. | 2002
- 2662
-
Distributed axis electron-beam system for lithography and inspection—preliminary experimental resultsPickard, D. S. / Campbell, C. / Crane, T. / Cruz-Rivera, L. J. / Davenport, A. / Meisburger, W. D. / Pease, R. F. W. / Groves, T. R. et al. | 2002
- 2666
-
Comprehensive model of electron energy depositionHan, Geng / Khan, Mumit / Fang, Yanghua / Cerrina, Franco et al. | 2002
- 2672
-
Development of data conversion system for electron beam projection lithographyKato, Kokoro / Nishizawa, Kuninori / Haruki, Tamae / Inoue, Tadao / Kamijo, Koichi / Kojima, Shinichi / Minami, Hideyuki / Okamoto, Kazuya et al. | 2002
- 2678
-
Testing an electrostatic deflection innovation: Initial experimental resultsRetsky, Michael / Stein, Richard et al. | 2002
- 2682
-
Copper device editing: Strategy for focused ion beam milling of copperCasey, J. David / Phaneuf, Michael / Chandler, Clive / Megorden, Michael / Noll, Kathryn E. / Schuman, Richard / Gannon, Thomas J. / Krechmer, Alex / Monforte, David / Antoniou, Nicholas et al. | 2002
- 2686
-
Graphitization of Fe-doped amorphous carbon pillars grown by focused-ion-beam-induced chemical-vapor depositionFujita, J. / Ishida, M. / Ichihashi, T. / Ochiai, Y. / Kaito, T. / Matsui, S. et al. | 2002
- 2690
-
Conductance-atomic force microscope characterization of focused ion beam chip repair processesMarchman, H. / McMurray, J. / Wildman, H. et al. | 2002
- 2695
-
End point of silicon milling using an optical beam induced current signal for controlled access to integrated circuits for backside circuit editingAntoniou, N. / Bassom, N. J. / Huynh, C. / Monforte, D. / Casey, J. D. / Krechmer, A. / Carleson, P. et al. | 2002
- 2700
-
Improvements in focused ion beam micromachining of interconnect materialsGonzalez, J. C. / da Silva, M. I. N. / Griffis, D. P. / Russell, P. E. et al. | 2002
- 2705
-
Scattering mask concept for ion-beam nanolithographyRuchhoeft, P. / Wolfe, J. C. / Torres, J. L. / Bass, R. et al. | 2002
- 2709
-
Correcting for global space charge by positive ion generationCrane, Trevor / Campbell, Colin / Pickard, Dan / Han, Liqun / Takahashi, Kimitoshi / Meisburger, W. Dan / Pease, R. Fabian et al. | 2002
- 2713
-
Ion projection sensitized selective Cu electroplating on uncoated -SiSpiegel, A. / Bruenger, W. H. / Dzionk, C. / Schmuki, P. et al. | 2002
- 2717
-
Improvement in brightness of multicusp-plasma ion sourceJi, Q. / Jiang, X. / King, T.-J. / Leung, K.-N. / Standiford, K. / Wilde, S. B. et al. | 2002
- 2721
-
Role of oxygen in semiconductor negative electron affinity photocathodesMachuca, Francisco / Liu, Zhi / Sun, Yun / Pianetta, P. / Spicer, W. E. / Pease, R. F. W. et al. | 2002
- 2726
-
Development of electromagnetic lenses for multielectron beam lithography systemHaraguchi, T. / Sakazaki, T. / Hamaguchi, S. / Yasuda, H. et al. | 2002
- 2730
-
Nanopipe fabrication using vertically aligned carbon nanofiber templatesMelechko, A. V. / McKnight, T. E. / Guillorn, M. A. / Austin, D. W. / Ilic, B. / Merkulov, V. I. / Doktycz, M. J. / Lowndes, D. H. / Simpson, M. L. et al. | 2002
- 2734
-
Electrode modification by electron-induced patterning of self-assembled monolayersKaltenpoth, G. / Völkel, B. / Nottbohm, C. T. / Gölzhäuser, A. / Buck, M. et al. | 2002
- 2739
-
Exploiting intermolecular interactions and self-assembly for ultrahigh resolution nanolithographyAnderson, M. E. / Smith, R. K. / Donhauser, Z. J. / Hatzor, A. / Lewis, P. A. / Tan, L. P. / Tanaka, H. / Horn, M. W. / Weiss, P. S. et al. | 2002
- 2745
-
Localized and directed lateral growth of carbon nanotubes from a porous templateWind, S. J. / Martel, R. / Avouris, Ph. et al. | 2002
- 2749
-
Tunable distributed-feedback laser gratings for telecom applications, manufactured by electron-beam lithographyRishton, S. A. / Pezeshki, B. / Zou, S. / Yoffe, G. / Henschel, W. et al. | 2002
- 2753
-
Optical waveguides with apodized sidewall gratings via spatial-phase-locked electron-beam lithographyHastings, J. T. / Lim, Michael H. / Goodberlet, J. G. / Smith, Henry I. et al. | 2002
- 2758
-
Surface diffusion and size evolution of nanostructures in laser-focused atomic depositionZhong, Jianxin / Wells, Jack C. / Braiman, Yehuda et al. | 2002
- 2763
-
Method for manufacturing nanoscale structures in transition metal layersvan Delft, Falco C. M. J. M. / Ketelaars, W. S. M. M. / Kroon, M. / Lambregts, J. et al. | 2002
- 2768
-
Nanostructure fabrication by direct electron-beam writing of nanoparticlesGriffith, Saul / Mondol, Mark / Kong, David S. / Jacobson, Joseph M. et al. | 2002
- 2773
-
Characteristics of multiwalled carbon nanotube nanobridges fabricated by poly(methylmethacrylate) suspended dispersionLee, S.-B. / Teo, K. B. K. / Robinson, L. A. W. / Teh, A. S. / Chhowalla, M. / Hasko, D. G. / Amaratunga, G. A. J. / Milne, W. I. / Ahmed, H. et al. | 2002
- 2777
-
Nanoscale electron beam lithography and etching for fully depleted silicon-on-insulator devicesDreeskornfeld, L. / Hartwich, J. / Kretz, J. / Risch, L. / Roesner, W. / Schmitt-Landsiedel, D. et al. | 2002
- 2780
-
Multilevel silicon diffractive optics for terahertz wavesWalsby, E. D. / Wang, S. / Xu, J. / Yuan, T. / Blaikie, R. / Durbin, S. M. / Zhang, X.-C. / Cumming, D. R. S. et al. | 2002
- 2784
-
Density estimation for amorphous carbon nanopillars grown by focused ion beam assisted chemical vapor depositionIshida, Masahiko / Fujita, Jun-ichi / Ochiai, Yukinori et al. | 2002
- 2788
-
Process integration of self-assembled polymer templates into silicon nanofabricationGuarini, K. W. / Black, C. T. / Zhang, Y. / Kim, H. / Sikorski, E. M. / Babich, I. V. et al. | 2002
- 2793
-
Design and prototyping of a micropropulsion system for microsatellites attitude control and orbit correctionVaccari, L. / Altissimo, M. / Di Fabrizio, E. / De Grandis, F. / Manzoni, G. / Santoni, F. / Graziani, F. / Gerardino, A. / Perennes, F. / Miotti, P. et al. | 2002
- 2798
-
Fabrication and electrical characterization of top gate single-wall carbon nanotube field-effect transistorsWind, S. J. / Appenzeller, J. / Martel, R. / Derycke, V. / Avouris, P. et al. | 2002
- 2802
-
Hybrid assembly technique using alternating current field for molecular electronic measurementsAmlani, Islamshah / Rawlett, Adam M. / Nagahara, Larry A. / Tsui, Raymond K. et al. | 2002
- 2806
-
Single-electron parametron memory cellEmiroglu, E. G. / Durrani, Z. A. K. / Hasko, D. G. / Williams, D. A. et al. | 2002
- 2810
-
Quantum dot with independently tunable tunneling barriers fabricated using an atomic force microscopeNemutudi, R. / Smith, C. G. / Ford, C. J. B. / Appleyard, N. J. / Pepper, M. / Ritchie, D. A. / Jones, G. A. C. et al. | 2002
- 2814
-
Fabrication of spin-current-induced domain-wall-nucleation device in planar configurationKimura, Takashi / Wakaya, Fujio / Gamo, Kenji et al. | 2002
- 2819
-
Single ion implantation for solid state quantum computer developmentSchenkel, T. / Persaud, A. / Park, S. J. / Meijer, J. / Kingsley, J. R. / McDonald, J. W. / Holder, J. P. / Bokor, J. / Schneider, D. H. et al. | 2002
- 2824
-
Single-electron transistor structures based on silicon-on-insulator silicon nanowire fabrication by scanning probe lithography and wet etchingSheu, J. T. / You, K. S. / Wu, C. H. / Chang, K. M. et al. | 2002
- 2829
-
Sub-70 nm extreme ultraviolet lithography at the Advanced Light Source static microfield exposure station using the engineering test stand set-2 opticNaulleau, Patrick / Goldberg, Kenneth A. / Anderson, Erik H. / Attwood, David / Batson, Phillip / Bokor, Jeffrey / Denham, Paul / Gullikson, Eric / Harteneck, Bruce / Hoef, Brian et al. | 2002
- 2834
-
Testing extreme ultraviolet optics with visible-light and extreme ultraviolet interferometryGoldberg, Kenneth A. / Naulleau, Patrick / Bokor, Jeffrey / Chapman, Henry N. / Barty, Anton et al. | 2002
- 2840
-
Particle-induced distortion in extreme ultraviolet lithography reticles during exposure chuckingTejeda, R. / Engelstad, R. / Lovell, E. / Blaedel, K. et al. | 2002
- 2844
-
Multiple-beam interference lithography with electron beam written gratingsSolak, H. H. / David, C. / Gobrecht, J. / Wang, L. / Cerrina, F. et al. | 2002
- 2849
-
Lithographic aerial-image contrast measurement in the extreme ultraviolet engineering test standLee, Sang H. / Tichenor, Daniel A. / Naulleau, Patrick et al. | 2002
- 2853
-
High-resolution transfer printing on GaAs surfaces using alkane dithiol monolayersLoo, Yueh-Lin / Hsu, Julia W. P. / Willett, Robert L. / Baldwin, Kirk W. / West, Ken W. / Rogers, John A. et al. | 2002
- 2857
-
Characterization of and imprint results using indium tin oxide-based step and flash imprint lithography templatesDauksher, W. J. / Nordquist, K. J. / Mancini, D. P. / Resnick, D. J. / Baker, J. H. / Hooper, A. E. / Talin, A. A. / Bailey, T. C. / Lemonds, A. M. / Sreenivasan, S. V. et al. | 2002
- 2862
-
Polymer microring resonators fabricated by nanoimprint techniqueChao, Chung-yen / Guo, L. Jay et al. | 2002
- 2867
-
Imprint lithography for curved cross-sectional structure using replicated Ni moldHirai, Yoshihiko / Harada, Satoshi / Kikuta, Hisao / Tanaka, Yoshio / Okano, Masato / Isaka, Satoshi / Kobayasi, Michio et al. | 2002
- 2872
-
Reversal imprinting by transferring polymer from mold to substrateHuang, X. D. / Bao, L.-R. / Cheng, X. / Guo, L. J. / Pang, S. W. / Yee, A. F. et al. | 2002
- 2877
-
High-resolution organic polymer light-emitting pixels fabricated by imprinting techniqueCheng, Xing / Hong, Yongtaek / Kanicki, Jerzy / Guo, L. Jay et al. | 2002
- 2881
-
Nanoimprinting over topography and multilayer three-dimensional printingBao, L.-R. / Cheng, X. / Huang, X. D. / Guo, L. J. / Pang, S. W. / Yee, A. F. et al. | 2002
- 2887
-
Fabrication of high electron mobility transistors with T-gates by nanoimprint lithographyChen, Y. / Macintyre, D. / Boyd, E. / Moran, D. / Thayne, I. / Thoms, S. et al. | 2002
- 2891
-
Prediction of fabrication distortions in step and flash imprint lithography templatesMartin, C. J. / Engelstad, R. L. / Lovell, E. G. / Resnick, D. J. / Weisbrod, E. J. et al. | 2002
- 2896
-
Hydrogen silsesquioxane for direct electron-beam patterning of step and flash imprint lithography templatesMancini, D. P. / Gehoski, K. A. / Ainley, E. / Nordquist, K. J. / Resnick, D. J. / Bailey, T. C. / Sreenivasan, S. V. / Ekerdt, J. G. / Willson, C. G. et al. | 2002
- 2902
-
Evaluation of siloxane and polyhedral silsesquioxane copolymers for 157 nm lithographyBellas, V. / Tegou, E. / Raptis, I. / Gogolides, E. / Argitis, P. / Iatrou, H. / Hadjichristidis, N. / Sarantopoulou, E. / Cefalas, A. C. et al. | 2002
- 2909
-
Fluoropolymer-based resists for a single-resist process of 157 nm lithographyToriumi, M. / Yamazaki, T. / Furukawa, T. / Irie, S. / Ishikawa, S. / Itani, T. et al. | 2002
- 2913
-
Electrostatic effects during dissolution of positive tone photoresistsSchmid, Gerard M. / Burns, Sean D. / Tsiartas, Pavlos C. / Willson, C. Grant et al. | 2002
- 2920
-
Probing surface and bulk chemistry in resist films using near edge x-ray absorption fine structureLenhart, Joseph L. / Jones, Ronald L. / Lin, Eric K. / Soles, Christopher L. / Wu, Wen-li / Fischer, Daniel A. / Sambasivan, Sharadha / Goldfarb, Dario L. / Angelopoulos, Marie et al. | 2002
- 2927
-
Depth dependence of resist line-edge roughness: Relation to photoacid diffusion lengthShin, J. / Ma, Y. / Cerrina, F. et al. | 2002
- 2932
-
Delay-time and aging effects on contrast and sensitivity of hydrogen silsesquioxanevan Delft, Falco C. M. J. M. et al. | 2002
- 2937
-
Low stress development of poly(methylmethacrylate) for high aspect ratio structuresRooks, M. J. / Kratschmer, E. / Viswanathan, R. / Katine, J. / Fontana, R. E. / MacDonald, S. A. et al. | 2002
- 2942
-
Photopatternable sol-gel for compound semiconductor processingFallahi, Mahmoud / Bedford, Robert / Lu, Dong et al. | 2002
- 2946
-
Acid catalyst mobility in resist resinsStewart, Michael D. / Tran, Hoang Vi / Schmid, Gerard M. / Stachowiak, Timothy B. / Becker, Darren J. / Willson, C. Grant et al. | 2002
- 2953
-
Suppression of secondary electron blur by using Br-containing resists in x-ray lithographyKise, K. / Marumoto, K. / Watanabe, H. / Itoga, K. / Kumada, T. / Sumitani, H. / Kitayama, T. / Amemiya, M. / Watanabe, Y. / Uda, K. et al. | 2002
- 2958
-
Evaluation of calixarene—derivatives as high-resolution negative tone electron-beam resistsSailer, H. / Ruderisch, A. / Kern, D. P. / Schurig, V. et al. | 2002
- 2962
-
Photospeed considerations for extreme ultraviolet lithography resistsDentinger, Paul M. / Hunter, Luke L. / O’Connell, Donna J. / Gunn, Scott / Goods, Daniel / Fedynyshyn, Theodore H. / Goodman, Russell B. / Astolfi, David K. et al. | 2002
- 2968
-
Partially hydrogenated poly(vinyl phenol) based photoresist for near UV, high aspect ratio micromachiningChatzichristidi, M. / Raptis, I. / Argitis, P. / Everett, J. et al. | 2002
- 2973
-
Thermal-flow techniques for sub-35 nm contact-hole fabrication in electron-beam lithographyChen, H. L. / Chen, C. H. / Ko, F. H. / Chu, T. C. / Pan, C. T. / Lin, H. C. et al. | 2002
- 2979
-
Extendibility of proximity x-ray lithography to 25 nm and belowToyota, Eijiro / Washio, Masakazu et al. | 2002
- 2984
-
Collimated point-source x-ray nanolithographyForber, R. A. / Chen, Z. W. / Menon, R. / Grygier, R. / Mrowka, S. / Turcu, I. C. E. / Gaeta, C. J. / Cassidy, K. / Smith, Henry I. et al. | 2002
- 2991
-
Achieving nanometer-scale, controllable pattern shifts in x-ray lithography using an assembly-tilting techniqueQi, Minghao / Smith, Henry I. et al. | 2002
- 2995
-
Dynamic studies of hard pellicle response during exposure scanningCotte, Eric P. / Abdo, Amr Y. / Engelstad, Roxann L. / Lovell, Edward G. et al. | 2002
- 3000
-
Characterization of extreme ultraviolet lithography mask defects by actinic inspection with broadband extreme ultraviolet illuminationPark, Mincheol / Yi, Moonsuk / Mirkarimi, Paul / Larson, Cindy / Bokor, Jeffrey et al. | 2002
- 3006
-
Ultraviolet and direct ultraviolet inspection of next generation lithography reticlesPettibone, Don / Dayal, Aditya / Veldman, Andrei / Stokowski, Stan et al. | 2002
- 3010
-
Fabrication of complete 8 in. stencil mask for electron projection lithographyAmemiya, Isao / Yamashita, Hiroshi / Nakatsuka, Sakae / Kimura, Ikuru / Tsukahara, Mitsuharu / Yasumatsu, Satoshi / Nagarekawa, Osamu et al. | 2002
- 3015
-
Complementary mask pattern split for 8 in. stencil masks in electron projection lithographyYamashita, Hiroshi / Takahashi, Kimitoshi / Amemiya, Isao / Takeuchi, Kunio / Masaoka, Hideki / Takenaka, Hiroshi / Yamabe, Masaki et al. | 2002
- 3021
-
Sub-50 nm stencil mask for low-energy electron-beam projection lithographyYoshizawa, Masaki et al. | 2002
- 3025
-
Stencil reticle inspection using a deep ultraviolet microscopeOkada, Masashi / Katakura, Norihiro / Kawata, Shintaro et al. | 2002
- 3029
-
Proximity and heating effects during electron-beam patterning of ultraviolet lithography masksLu, B. / Wasson, J. R. / Weisbrod, E. J. / Masnyj, Z. / Mangat, P. J. S. / Nordquist, K. / Resnick, D. et al. | 2002
- 3035
-
Efficient phase defect modeling using domain decomposition methodsAdam, Konstantinos / Neureuther, Andrew R. et al. | 2002
- 3040
-
Fabrication of x-ray masks using evaporated electron sensitive layers for back patterning of membranesAwad, Yousef / Lavallee, Eric / Beauvais, Jacques / Drouin, Dominique / Yang, Pan / Turcotte, David / Mun, Lau Kien et al. | 2002
- 3044
-
Submicron thermocouple measurements of electron-beam resist heatingChu, Dachen / Bilir, D. Taner / Pease, R. Fabian W. / Goodson, Kenneth E. et al. | 2002
- 3047
-
Thermal modeling of extreme ultraviolet and step and flash imprint lithography substrates during dry etchWeisbrod, E. J. / Dauksher, W. J. / Zhang, D. / Rauf, S. / Mangat, P. J. S. / Ventzek, P. L. G. / Smith, K. H. / Clemens, S. B. / Martin, C. J. / Engelstad, R. L. et al. | 2002
- 3053
-
Electron projection lithography mask format layer stress measurement and simulation of pattern transfer distortionReu, P. L. / Chen, C.-F. / Engelstad, R. L. / Lovell, E. G. / Bayer, T. / Greschner, J. / Kalt, S. / Weiss, H. / Wood, O. R. / Mackay, R. S. et al. | 2002
- 3058
-
Advanced die-to-database inspection technique for embedded attenuated phase shift maskYamashita, Kyoji / Isomura, Ikunao / Tsuchiya, Hideo / Watanabe, Toshiyuki / Inoue, Hiromu / Endo, Satoshi / Tokita, Masakazu et al. | 2002
- 3063
-
Two-dimensional dopant profiling of ultrashallow junctions by electron holographyThesen, Alexander E. / Frost, Bernhard G. / Joy, David C. et al. | 2002
- 3067
-
Liquid immersion lens technology applied to laser voltage probing of 130 nm process technology devicesNataraj, Nagamani / Deslandes, Herve / Lo, William / Eiles, Travis / Pardy, Patrick et al. | 2002
- 3071
-
Beam alignment for scanning beam interference lithographyChen, Carl G. / Heilmann, Ralf K. / Joo, Chulmin / Konkola, Paul T. / Pati, G. S. / Schattenburg, Mark L. et al. | 2002
- 3075
-
Precision fringe metrology using a Fresnel zone plateJoo, Chulmin / Pati, G. S. / Chen, Carl G. / Konkola, Paul T. / Heilmann, Ralf K. / Schattenburg, Mark L. / Liddle, Alexander / Anderson, Erik H. et al. | 2002
- 3080
-
Accurate reflectometry for extreme-ultraviolet lithography at the National Institute of Standards and TechnologyGrantham, S. / Tarrio, C. / Lucatorto, T. B. et al. | 2002
- 3085
-
Technique for preparation of precise wafer cross sections and applications to electron beam lithography of poly(methylmethacrylate) resistHu, Wenchuang / Orlova, Tatyana / Bernstein, Gary H. et al. | 2002
- 3089
-
Electromigration in passivated Cu interconnects studied by transmission x-ray microscopySchneider, G. / Meyer, M. A. / Denbeaux, G. / Anderson, E. / Bates, B. / Pearson, A. / Knöchel, C. / Hambach, D. / Stach, E. A. / Zschech, E. et al. | 2002
- 3095
-
High precision stress measurement of ion projection lithography mask membranesTorres, José L. / Wolfe, J. C. / Ruchhoeft, Paul / Kennedy, Timothy F. / Podolski, Joseph / Kragler, Karl / Ehrmann, Albrecht / Kaesmaier, R. / Löschner, H. et al. | 2002
- 3099
-
Adaptive alignment of photomasks for overlay improvementChen, C.-f. / Engelstad, R. L. / Lovell, E. G. / White, D. L. / Wood, O. R. / Smith, M. K. / Harriott, L. R. et al. | 2002
- 3106
-
Advanced time-multiplexed plasma etching of high aspect ratio silicon structuresBlauw, M. A. / Craciun, G. / Sloof, W. G. / French, P. J. / van der Drift, E. et al. | 2002
- 3111
-
Profile simulation of gas chopping based etching processesVolland, B. E. / Ivanov, Tzv. / Rangelow, I. W. et al. | 2002