Mechanistic considerations of low temperature hydrogen-based plasma etching of Cu (Englisch)
- Neue Suche nach: Wu, Fangyu
- Neue Suche nach: Levitin, Galit
- Neue Suche nach: Hess, Dennis W.
- Neue Suche nach: Wu, Fangyu
- Neue Suche nach: Levitin, Galit
- Neue Suche nach: Hess, Dennis W.
In:
Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena
;
29
, 1
;
7
;
2011
- Aufsatz (Zeitschrift) / Elektronische Ressource
-
Titel:Mechanistic considerations of low temperature hydrogen-based plasma etching of Cu
-
Weitere Titelangaben:Mechanistic considerations of low temperature hydrogen-based plasma etching
-
Beteiligte:
-
Erschienen in:
-
Verlag:
- Neue Suche nach: American Vacuum Society
-
Erscheinungsdatum:01.01.2011
-
Format / Umfang:7 pages
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
Inhaltsverzeichnis – Band 29, Ausgabe 1
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
-
Advanced Technologies for Thin Dielectric Film Growth - Atomic layer deposition of HfO2 and Al2O3 layers on 300 mm Si wafers for gate stack technology 01A301Lupták, R et al. | 2011
-
AUTHOR INDEX| 2011
-
Poly- gate stack etching in high-density plasmasLuere, O. / Pargon, E. / Vallier, L. / Joubert, O. et al. | 2011
-
Nanoscale depth-resolved electronic properties of for device-tolerant electronicsKatz, E. J. / Zhang, Z. / Hughes, H. L. / Chung, K. -B. / Lucovsky, G. / Brillson, L. J. et al. | 2011
-
Fabrication of trench nanostructures for extreme ultraviolet lithography masks by atomic force microscope lithographyKwon, Gwangmin / Ko, Kyeongkeun / Lee, Haiwon / Lim, Woongsun / Yeom, Geun Young / Lee, Sunwoo / Ahn, Jinho et al. | 2011
-
Temperature hysteresis of the capacitance dependence for ferroelectric ceramicsDedyk, Antonina / Pavlova, Yulia / Karmanenko, Sergey / Semenov, Alexander / Semikin, Dmitry / Pakhomov, Oleg / Starkov, Alexander / Starkov, Ivan et al. | 2011
-
O-vacancies in (i) nanocrystalline and (i) noncrystalline and studied by x-ray absorption spectroscopyLucovsky, Gerald / Miotti, Leonardo / Bastos, Karen Paz et al. | 2011
-
Electrical characteristics of metal-insulator-semiconductor structures with atomic layer deposited , , and nanolaminates on different silicon substratesCampabadal, F. / Rafí, J. M. / Zabala, M. / Beldarrain, O. / Faigón, A. / Castán, H. / Gómez, A. / García, H. / Dueñas, S. et al. | 2011
-
Processing dependences of channel hot-carrier degradation on strained-Si -channel metal-oxide semiconductor field-effect transistorsAmat, E. / Martin-Martínez, J. / Gonzalez, M. B. / Rodríguez, R. / Nafría, M. / Aymerich, X. / Verheyen, P. / Simoen, E. et al. | 2011
-
On the role of Ti adlayers for resistive switching in -based metal-insulator-metal structures: Top versus bottom electrode integrationWalczyk, Ch. / Wenger, Ch. / Walczyk, D. / Lukosius, M. / Costina, I. / Fraschke, M. / Dabrowski, J. / Fox, A. / Wolansky, D. / Thiess, S. et al. | 2011
-
Charge retention phenomena in CT silicon nitride: Impact of technology and operating conditionsGhidini, G. / Galbiati, N. / Mascellino, E. / Scozzari, C. / Sebastiani, A. / Amoroso, S. / Compagnoni, C. Monzio / Spinelli, A. S. / Maconi, A. / Piagge, R. et al. | 2011
-
Synthesis and characterization of DyScO films deposited on Si and Si-rich SiN by atomic layer deposition for blocking layer replacement in TANOS stackLamperti, A. / Cianci, E. / Russo, U. / Spiga, S. / Salicio, O. / Congedo, G. / Fanciulli, M. et al. | 2011
-
Noncontact metrology for inversion charge carrier mobility by corona charge and photovoltage measurements on blank wafers with a gate dielectric 01AB05Everaert, J L et al. | 2011
-
Application of kernel convolution for complementing source mask optimization 011006Miller, Marshal A et al. | 2011
-
Compact holographic lithography system for photonic-crystal structure 011015Hsieh, Mei-Li et al. | 2011
-
Dielectrics for Non-Volatile Memories - Charge retention phenomena in CT silicon nitride: Impact of technology and operating conditions 01AE01Ghidini, G et al. | 2011
-
Etch mechanisms of silicon gate structures patterned in SF6/CH2F2/Ar inductively coupled plasmas 011028Luere, O et al. | 2011
-
Dielectric breakdown in polycrystalline hafnium oxide gate dielectrics investigated by conductive atomic force microscopy 01AB02Iglesias, V et al. | 2011
-
Design and focused ion beam fabrication of single crystal diamond nanobeam cavitiesBabinec, Thomas M. / Choy, Jennifer T. / Smith, Kirsten J. M. / Khan, Mughees / Lončar, Marko et al. | 2011
-
Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabricationOehrlein, Gottlieb S. / Phaneuf, Raymond J. / Graves, David B. et al. | 2011
-
Fabrication of flexible ultracapacitor/galvanic cell hybrids using advanced nanoparticle coating technologyPeckerar, Martin / Dornajafi, Mahsa / Dilli, Zeynep / Goldsman, Neil / Ngu, Yves / Boerger, Brent / Van Wyck, Neil / Gravelin, James / Grenon, Brian / Proctor, Robert B. et al. | 2011
-
Scalable nanoimprint patterning of thin graphitic oxide sheets and in situ reductionLee, Yeong-Yuh / Chong, Karen S. L. / Goh, Seok-Hong / Ng, Andrew M. H. / Kunnavakkam, Madanagopal V. / Hee, Chiou-Liu / Xu, Yanping / Tantang, Hosea / Su, Ching-Yuan / Li, Lain-Jong et al. | 2011
-
Impact of halo implant on the hot carrier reliability of germanium -channel metal-oxide-semiconductor field-effect transitorsFranco, J. / Eneman, G. / Kaczer, B. / Mitard, J. / De Jaeger, B. / Groeseneken, G. et al. | 2011
-
Electrical analysis of three-stage passivated capacitors with varying thicknesses and incorporating an interface control layerMonaghan, S. / O’Mahony, A. / Cherkaoui, K. / O’Connor, É. / Povey, I. M. / Nolan, M. G. / O’Connell, D. / Pemble, M. E. / Hurley, P. K. / Provenzano, G. et al. | 2011
-
Electrical characterization of high- based metal-insulator-semiconductor structures with negative resistance effect when using and nanolaminated films deposited on -SiGómez, A. / Castán, H. / García, H. / Dueñas, S. / Bailón, L. / Campabadal, F. / Rafí, J. M. / Zabala, M. et al. | 2011
-
Electrical characteristics of Ti–Ta–O based MIM capacitorsLukosius, M. / Kaynak, C. Baristiran / Wenger, Ch. / Ruhl, G. / Rushworth, S. et al. | 2011
-
Study of parasitic trapping in alumina used as blocking oxide for nonvolatile memoriesColonna, J. P. / Bocquet, M. / Molas, G. / Rochat, N. / Blaise, P. / Grampeix, H. / Licitra, C. / Lafond, D. / Masoero, L. / Vidal, V. et al. | 2011
-
Formation of silicon grass: Nanomasking by carbon clusters in cyclic deep reactive ion etchingLeopold, Steffen / Kremin, Christoph / Ulbrich, Angela / Krischok, Stefan / Hoffmann, Martin et al. | 2011
-
Enhanced outcoupling of electroluminescence from thin films by a photonic crystalLaw, Evan / Davidson, Mark / Shepherd, Nigel / Holloway, Paul H. et al. | 2011
-
Plasma etching of polydimethylsiloxane: Effects from process gas composition and dc self-bias voltageBjørnsen, Geir / Roots, Jaan et al. | 2011
-
Compensation methods for buried defects in extreme ultraviolet lithography masksa)Clifford, Chris H. / Chan, Tina T. / Neureuther, Andrew R. et al. | 2011
-
Interconnected alternating-current light-emitting diode arrays isolated by laser micromachiningMak, Giuseppe Y. / Lam, Edmund Y. / Choi, H. W. et al. | 2011
-
Quantitative simulation of ion-beam induced deposition of nanostructuresEbm, Christoph / Hobler, Gerhard / Waid, Simon / Wanzenboeck, Heinz D. et al. | 2011
-
Impact of thermal treatment upon morphology and crystallinity of strontium titanate films deposited by atomic layer depositionPopovici, Mihaela / Van Elshocht, Sven / Menou, Nicolas / Favia, Paola / Bender, Hugo / Rosseel, Erik / Swerts, Johan / Adelmann, Christoph / Vrancken, Christa / Moussa, Alain et al. | 2011
-
Properties of and as gate dielectrics for printed ZnO transistorsWalther, S. / Polster, S. / Meyer, B. / Jank, M. P. M. / Ryssel, H. / Frey, L. et al. | 2011
-
Performance of AlGaN/GaN metal-insulator-semiconductor heterostructure field-effect transistors with AlN gate insulator prepared by reactive magnetron sputteringStoklas, R. / Gregušová, D. / Gaži, Š. / Novák, J. / Kordoš, P. et al. | 2011
-
Trapping in GdSiO high- filmsRao, R. / Simoncini, R. / Gottlob, H. D. B. / Schmidt, M. / Irrera, F. et al. | 2011
-
Macroscopic and microscopic electrical characterizations of high- and metal-insulator-metal structuresMartin, Dominik / Grube, Matthias / Weinreich, Wenke / Müller, Johannes / Wilde, Lutz / Erben, Elke / Weber, Walter M. / Heitmann, Johannes / Schröder, Uwe / Mikolajick, Thomas et al. | 2011
-
Applicability of molecular beam deposition for the growth of high- oxidesGrube, Matthias / Martin, Dominik / Weber, Walter M. / Mikolajick, Thomas / Bierwagen, Oliver / Geelhaar, Lutz / Riechert, Henning et al. | 2011
-
Atomic layer deposition grown metal-insulator-metal capacitors with electrodes and Al-doped rutile dielectric layerHudec, B. / Hušeková, K. / Dobročka, E. / Aarik, J. / Rammula, R. / Kasikov, A. / Tarre, A. / Vincze, A. / Fröhlich, K. et al. | 2011
-
Formation of silicon grass: Nanomasking by carbon clusters in cyclic deep reactive ion etching 011002Leopold, Steffen et al. | 2011
-
Emerging Dielectric Materials - Temperature hysteresis of the capacitance dependence C(T) for ferroelectric-ceramics 01A501Dedyk, Antonina et al. | 2011
-
High-κ Dielectrics on High Mobility Semiconductors: Ge, III-V, III-N - Epitaxial growth of Dy2O3 films on SrTiO3(001) substrates by molecular beam epitaxy 01A801Nurul Kabir Bhuiyan, Md et al. | 2011
-
Thick benzocyclobutene etching using high density SF6/O2 plasmas 011019Chen, Qianwen et al. | 2011
-
Atomic layer deposition grown metal-insulator-metal capacitors with RuO2 electrodes and Al-doped rutile TiO2 dielectric layer 01AC09Hudec, B et al. | 2011
-
Influence of pH and abrasive concentration on polishing rate of amorphous Ge2Sb2Te5 film in chemical mechanical polishing 011020Zhang, Zefang et al. | 2011
-
On the role of Ti adlayers for resistive switching in HfO2-based metal-insulator-metal structures: Top versus bottom electrode integration 01AD02Walczyk, Ch et al. | 2011
-
Defect Characterisation, Engineering of Dielectrics, Leakage Currents - O-vacancies in (i) nanocrystalline HfO2 and (i) noncrystalline SiO2 and Si3N4 studied by x-ray absorption spectroscopy 01AA01Lucovsky, Gerald et al. | 2011
-
Spectroscopic detection of hopping induced mixed valence for Ti and Sc in GdSc1-xTix03 for x greater than the percolation threshold of ~0.16 01AA02Lucovsky, Gerry et al. | 2011
-
Temperature dependence of the emission and capture times of SiON individual traps after positive bias temperature stress 01AA04Toledano-Luque, M et al. | 2011
-
CUMULATIVE AUTHOR INDEX| 2011
-
Review Article - Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication 010801Oehrlein, Gottlieb S et al. | 2011
-
PAPERS FROM THE 16th WORKSHOP ON DIELECTRICS IN MICROELECTRONICS - Theory of Dielectric Materials - Multiphonon hole trapping from first principles 01A201Schanovsky, F et al. | 2011
-
Reliability studies on Ta2O5 high-κ dielectric metal-insulator-metal capacitors prepared by wet anodization 01AB10Sedghi, N et al. | 2011
-
Temperature dependence of TaAlOx metal-insulator-metal capacitors 01AC06Hota, M K et al. | 2011
-
High-κ/Metal Gate Stack, Characterisation, Scaling, Stability - Electrical characterization of high-κ based metal-insulator-semiconductor structures with negative resistance effect when using Al2O3 and nanolaminated films deposited on p-Si 01A901Gómez, A et al. | 2011
-
Trapping in GdSiO high-κ films 01A902Rao, R et al. | 2011
-
Study of parasitic trapping in alumina used as blocking oxide for nonvolatile memories 01AE02Colonna, J P et al. | 2011
-
Improvement of contact resistance between carbon nanotubes and metal electrodes for high performance electronicsSong, Yoojin / Kang, Seong Jun et al. | 2011
-
Characterization of damage induced by FIB etch and tungsten deposition in high aspect ratio viasDrezner, Yariv / Fishman, Daniel / Greenzweig, Yuval / Raveh, Amir et al. | 2011
-
Rare-earth oxide/TiN gate stacks on high mobility strained silicon on insulator for fully depleted metal-oxide-semiconductor field-effect transistorsÖzben, E. Durğun / Lopes, J. M. J. / Nichau, A. / Lupták, R. / Lenk, S. / Besmehn, A. / Bourdelle, K. K. / Zhao, Q. T. / Schubert, J. / Mantl, S. et al. | 2011
-
Fluorine implantation for effective work function control in -type metal-oxide-semiconductor high- metal gate stacksFet, A. / Häublein, V. / Bauer, A. J. / Ryssel, H. / Frey, L. et al. | 2011
-
measurements on stack metal-oxide-semiconductor capacitor using a new three-pulse techniqueSedghi, N. / Mitrovic, I. Z. / Hall, S. / Lopes, J. M. J. / Schubert, J. et al. | 2011
-
Plasma etching of polydimethylsiloxane: Effects from process gas composition and dc self-bias voltage 011001Bjørnsen, Geir et al. | 2011
-
Letters - Design and focused ion beam fabrication of single crystal diamond nanobeam cavities 010601Babinec, Thomas M et al. | 2011
-
Current instabilities in rare-earth oxides-HfO2 gate stacks grown on germanium based metal-oxide-semiconductor devices due to Maxwell-Wagner instabilities and dielectrics relaxation 01AB06Rahman, M S et al. | 2011
-
Improvement of contact resistance between carbon nanotubes and metal electrodes for high performance electronics 011011Song, Yoojin et al. | 2011
-
Macroscopic and microscopic electrical characterizations of high-κ ZrO2 and ZrO2/Al2O3/ZrO2 metal-insulator-metal structures 01AC02Martin, Dominik et al. | 2011
-
Interfacial properties of HfO2 dielectric film on Ge substrate 01A802He, Dawei et al. | 2011
-
Applicability of molecular beam deposition for the growth of high-κ oxides 01AC05Grube, Matthias et al. | 2011
-
Traps and trapping phenomena and their implications on electrical behavior of high-κ capacitor stacks 01AA03Paskaleva, A et al. | 2011
-
Transparent semiconducting Nb-doped anatase films deposited by helicon-wave-excited-plasma sputteringFouda, A. / Hazu, K. / Haemori, M. / Nakayama, T. / Tanaka, A. / Chichibu, S. F. et al. | 2011
-
Chemical vapor deposition and characterization of high- dielectric layers for microelectronic applicationsAbrutis, A. / Katkus, T. / Stanionyte, S. / Kubilius, V. / Lupina, G. / Wenger, Ch. / Lukosius, M. et al. | 2011
-
Characterization of thickness variations of thin dielectric layers at the nanoscale using scanning capacitance microscopyYanev, V. / Rommel, M. / Bauer, A. J. / Frey, L. et al. | 2011
-
Recent trends in bias temperature instabilityKaczer, B. / Grasser, T. / Franco, J. / Toledano-Luque, M. / Roussel, Ph. J. / Cho, M. / Simoen, E. / Groeseneken, G. et al. | 2011
-
Hot-carrier degradation caused interface state profile—Simulation versus experimentStarkov, I. / Tyaginov, S. / Enichlmair, H. / Cervenka, J. / Jungemann, C. / Carniello, S. / Park, J. M. / Ceric, H. / Grasser, T. et al. | 2011
-
Effects of molecular functionalization sequence on mesoporous silica film properties 010602Singh, Binay et al. | 2011
-
Dual-sputtered process sensitivity of HfGdO charge-trapping layer in SONOS-type nonvolatile memory 011009Wang, Jer-Chyi et al. | 2011
-
New Device Approaches - Properties of SiO2 and Si3N4 as gate dielectrics for printed ZnO transistors 01A601Walther, S et al. | 2011
-
High-κ Dielectrics for DRAM - Electrical characteristics of Ti-Ta-O based MIM capacitors 01AC01Lukosius, M et al. | 2011
-
High quality epitaxial Dy3Ge5 films grown on Ge(001) substrates 01A805Nurul Kabir Bhuiyan, Md et al. | 2011
-
Atomic layer deposition temperature dependent minority carrier generation in ZrO2/GeO2/Ge capacitors 01A806Bethge, O et al. | 2011
-
Electrical analysis of three-stage passivated In0.53Ga0.47As capacitors with varying HfO2 thicknesses and incorporating an Al2O3 interface control layer 01A807Monaghan, S et al. | 2011
-
Resistive Switching in Dielectrics - Nanostructured resistive memory cells based on 8-nm-thin TiO2 films deposited by atomic layer deposition 01AD01Kügeler, C et al. | 2011
-
Molecularly selective nanopatterns using nanoimprint lithography: A label-free sensor architecture 011021Forchheimer, Daniel et al. | 2011
-
Poly-Si/TiN/Mo/HfO2 gate stack etching in high-density plasmas 011024Luere, O et al. | 2011
-
Mesoscopic nature of the electron transport in electroformed metal-insulator-metal switches 01AD05Miranda, E et al. | 2011
-
Analysis of the effect of germanium preamorphization on interface defects and leakage current for high-κ metal-oxide-semiconductor field-effect transistor 01AA05Roll, G et al. | 2011
-
Electrical characteristics of metal-insulator-semiconductor structures with atomic layer deposited Al2O3, HfO2, and nanolaminates on different silicon substrates 01AA07Campabadal, F et al. | 2011
-
Study of the physical and electrical degradation of thin oxide films by atomic force microscopeHourani, Wael / Gautier, Brice / Militaru, Liviu / Albertini, David / Descamps-Mandine, Armel et al. | 2011
-
Temperature dependence of metal-insulator-metal capacitorsHota, M. K. / Mallik, S. / Sarkar, C. K. / Maiti, C. K. et al. | 2011
-
Analysis of leakage current mechanisms in MIM structuresRacko, J. / Mikolášek, M. / Harmatha, L. / Breza, J. / Hudec, B. / Fröhlich, K. / Aarik, J. / Tarre, A. / Granzner, R. / Schwierz, F. et al. | 2011
-
Evaluation of the electrical and physical properties of thin calcium titanate high- insulators for capacitor applicationsKrause, A. / Weber, W. / Jahn, A. / Richter, K. / Pohl, D. / Rellinghaus, B. / Schröder, U. / Heitmann, J. / Mikolajick, T. et al. | 2011
-
CV measurements on LaLuO3 stack metal-oxide-semiconductor capacitor using a new three-pulse technique 01AB03Sedghi, N et al. | 2011
-
Accuracy of thickness measurement for Ge epilayers grown on SiGe/Ge/Si(100) heterostructure by x-ray diffraction and reflectivity 011010Liu, Xue-Chao et al. | 2011
-
High-κ Dielectrics on Si - Metallic oxygen barrier diffusion applied to high-κ deposition 01A701Rauwel, E et al. | 2011
-
Atomic-scale engineering of future high-κ dynamic random access memory dielectrics: The example of partial Hf substitution by Ti in BaHfO3 01AC03Dudek, P et al. | 2011
-
Transparent semiconducting Nb-doped anatase TiO2 films deposited by helicon-wave-excited-plasma sputtering 011017Fouda, A et al. | 2011
-
Performance of AlGaN/GaN metal-insulator-semiconductor heterostructure field-effect transistors with AIN gate insulator prepared by reactive magnetron sputtering 01A809Stoklas, R et al. | 2011
-
Stochastic model of the resistive switching mechanism in bipolar resistive random access memory: Monte Carlo simulations 01AD03Makarov, A et al. | 2011
-
Characterization of damage induced by FIB etch and tungsten deposition in high aspect ratio vias 011026Drezner, Yariv et al. | 2011
-
Vision-based approach to automated analysis of structure boundaries in scanning electron microscope images 011033Kim, Nak H et al. | 2011
-
Electrical Characterisation and Reliability of Devices with Alternative Dielectrics - Recent trends in bias temperature instability 01AB01Kaczer, B et al. | 2011
-
Damage immune field effect transistors with vacuum gate dielectricHan, Jin-Woo / Ahn, Jae-Hyuk / Choi, Yang-Kyu et al. | 2011
-
Influence of and abrasive concentration on polishing rate of amorphous film in chemical mechanical polishingZhang, Zefang / Liu, Weili / Song, Zhitang et al. | 2011
-
Molecularly selective nanopatterns using nanoimprint lithography: A label-free sensor architecturea)Forchheimer, Daniel / Luo, Gang / Ye, Lei / Montelius, Lars et al. | 2011
-
Temperature dependence of the emission and capture times of SiON individual traps after positive bias temperature stressToledano-Luque, M. / Kaczer, B. / Roussel, Ph. / Cho, M. J. / Grasser, T. / Groeseneken, G. et al. | 2011
-
Spectroscopic detection of hopping induced mixed valence for Ti and Sc in for greater than the percolation threshold ofLucovsky, Gerry / Miotti, Leonardo / Bastos, Karen Paz / Adamo, Carolina / Schlom, Darrell G. et al. | 2011
-
Dielectric breakdown in polycrystalline hafnium oxide gate dielectrics investigated by conductive atomic force microscopyIglesias, V. / Porti, M. / Nafría, M. / Aymerich, X. / Dudek, P. / Bersuker, G. et al. | 2011
-
Stochastic model of the resistive switching mechanism in bipolar resistive random access memory: Monte Carlo simulationsMakarov, A. / Sverdlov, V. / Selberherr, S. et al. | 2011
-
Investigation of the radiation-induced thermal flexure of an x-ray lithography mask during a tilted exposureNazmov, V. / Reznikova, E. / Mohr, J. et al. | 2011
-
Dual-sputtered process sensitivity of HfGdO charge-trapping layer in SONOS-type nonvolatile memoryWang, Jer-Chyi / Chou, Pai-Chi / Lai, Chao-Sung / Liu, Li-Chi et al. | 2011
-
Mechanistic considerations of low temperature hydrogen-based plasma etching of CuWu, Fangyu / Levitin, Galit / Hess, Dennis W. et al. | 2011
-
Thick benzocyclobutene etching using high density plasmasChen, Qianwen / Zhang, Dingyou / Tan, Zhimin / Wang, Zheyao / Liu, Litian / Lu, Jian-Qiang et al. | 2011
-
Inhibition of carbon growth and removal of carbon deposits on extreme ultraviolet lithography mirrors by extreme ultraviolet irradiation in the presence of water, oxygen, or oxygen/ozone mixturesNiibe, Masahito / Koida, Keigo / Kakutani, Yukinobu et al. | 2011
-
Path to achieve sub-10-nm half-pitch using electron beam lithographyTavakkoli K. G., A. / Piramanayagam, S. N. / Ranjbar, M. / Sbiaa, R. / Chong, T. C. et al. | 2011
-
Vision-based approach to automated analysis of structure boundaries in scanning electron microscope imagesa)Kim, Nak H. / Lee, Soo-Young et al. | 2011
-
Noncontact metrology for inversion charge carrier mobility by corona charge and photovoltage measurements on blank wafers with a gate dielectricEveraert, J. L. / Rosseel, E. / Pap, A. / Meszaros, A. / Dekoster, J. / Pavelka, T. et al. | 2011
-
Dielectric layers suitable for high voltage integrated trench capacitorsvom Dorp, J. / Erlbacher, T. / Bauer, A. J. / Ryssel, H. / Frey, L. et al. | 2011
-
Damage immune field effect transistors with vacuum gate dielectric 011014Han, Jin-Woo et al. | 2011
-
Characterization of NbAlO dielectric film deposited on InP 01A803He, Dawei et al. | 2011
-
Evaluation of the electrical and physical properties of thin calcium titanate high-κ insulators for capacitor applications 01AC07Krause, A et al. | 2011
-
Electrical properties of InAIN/GaN high electron mobility transistor with Al2O3, ZrO2, and GdScO3 gate dielectrics 01A808Cico, K et al. | 2011
-
Evaluation of DyScOx as an alternative blocking dielectric in TANOS memories with Si3N4 or Si-rich SiN charge trapping layers 01AE04Congedo, G et al. | 2011
-
Study of the physical and electrical degradation of thin oxide films by atomic force microscope 01AA06Hourani, Wael et al. | 2011
-
Path to achieve sub-10-nm half-pitch using electron beam lithography 011035Tavakkoli K G, A et al. | 2011
-
Nanoimprint replication of nonplanar nanostructure fabricated by focused-ion-beam chemical vapor deposition 011005Kang, Yuji et al. | 2011
-
Chemical vapor deposition and characterization of high-κ BaHf1-xTixO3 dielectric layers for microelectronic applications 01A303Abrutis, A et al. | 2011
-
Gate oxide reliability at the nanoscale evaluated by combining conductive atomic force microscopy and constant voltage stress 01AB08Erlbacher, T et al. | 2011
-
Impact of thermal treatment upon morphology and crystallinity of strontium titanate films deposited by atomic layer deposition 01A304Popovici, Mihaela et al. | 2011
-
Compensation methods for buried defects in extreme ultraviolet lithography masks 011022Clifford, Chris H et al. | 2011
-
Temperature dependence of the resistance switching effect studied on the metal/YBa2Cu3O6+x planar junctions 01AD04Tomasek, Milan et al. | 2011
-
Interconnected alternating-current light-emitting diode arrays isolated by laser micromachining 011025Mak, Giuseppe Y et al. | 2011
-
Effect of gate capping configurations and silicon-on-insulator thickness with external stresses on partially depleted metal-oxide-semiconductor field-effect transistors 01A904Chang, Wen-Teng et al. | 2011
-
Control of semiconductor quantum dot nanostructures: Variants of SixGe1-x/Si quantum dot molecules 011029Murphy, Jessica K et al. | 2011
-
Inhibition of carbon growth and removal of carbon deposits on extreme ultraviolet lithography mirrors by extreme ultraviolet irradiation in the presence of water, oxygen, or oxygen/ozone mixtures 011030Niibe, Masahito et al. | 2011
-
Information for Contributors| 2011
-
Quantitative simulation of ion-beam induced deposition of nanostructures 011031Ebm, Christoph et al. | 2011
-
Performance characteristics of GaN-based light-emitting diodes fabricated with AgNi, AgCu, and AgAl-alloy reflectors 011032Kim, Hyunsoo et al. | 2011
-
High verticality InP/InGaAsP etching in inductively coupled plasma for photonic integrated circuitsParker, John S. / Norberg, Erik J. / Guzzon, Robert S. / Nicholes, Steven C. / Coldren, Larry A. et al. | 2011
-
Control of semiconductor quantum dot nanostructures: Variants of quantum dot moleculesMurphy, Jessica K. / Hull, Robert / Pyle, Devin / Wang, Hao / Gray, Jennifer / Floro, Jerrold et al. | 2011
-
Performance characteristics of GaN-based light-emitting diodes fabricated with AgNi, AgCu, and AgAl-alloy reflectorsKim, Hyunsoo / Lee, Sung-Nam et al. | 2011
-
Epitaxial growth of films on substrates by molecular beam epitaxyBhuiyan, Md. Nurul Kabir / Menghini, Mariela / Locquet, Jean-Pierre / Won Seo, Jin / Dieker, Christel / Jäger, Wolfgang / Marchiori, Chiara et al. | 2011
-
Metallic oxygen barrier diffusion applied to high- depositionRauwel, E. / Rauwel, P. / Ducroquet, F. / Matko, I. / Lourenço, A. C. et al. | 2011
-
Nanoimprint replication of nonplanar nanostructure fabricated by focused-ion-beam chemical vapor depositionKang, Yuji / Omoto, Shinya / Nakai, Yasuki / Okada, Makoto / Kanda, Kazuhiro / Haruyama, Yuichi / Matsui, Shinji et al. | 2011
-
Application of kernel convolution for complementing source mask optimizationa)Miller, Marshal A. / Yamazoe, Kenji / Neureuther, Andrew R. et al. | 2011
-
Accuracy of thickness measurement for Ge epilayers grown on SiGe/Ge/Si(100) heterostructure by x-ray diffraction and reflectivityLiu, Xue-Chao / Myronov, M. / Dobbie, A. / Nguyen, Van H. / Leadley, D. R. et al. | 2011
-
Residue growth on metallic hard mask after dielectric etching in fluorocarbon based plasmas. II. SolutionsPosseme, N. / Bouyssou, R. / Chevolleau, T. / David, T. / Arnal, V. / Darnon, M. / Brun, Ph. / Verove, C. / Joubert, O. et al. | 2011
-
Structural and electrical properties of thin films grown by atomic layer depositionAlekhin, A. P. / Chouprik, A. A. / Gudkova, S. A. / Markeev, A. M. / Lebedinskii, Yu. Yu. / Matveyev, Yu. A. / Zenkevich, A. V. et al. | 2011
-
High quality epitaxial films grown on Ge(001) substratesBhuiyan, Md. Nurul Kabir / Menghini, Mariela / Locquet, Jean-Pierre / Won Seo, Jin / Marchiori, Chiara et al. | 2011
-
Atomic layer deposition temperature dependent minority carrier generation in capacitorsBethge, O. / Abermann, S. / Henkel, C. / Smoliner, J. / Bertagnolli, E. / Straif, C. J. / Hutter, H. et al. | 2011
-
Electrical properties of InAlN/GaN high electron mobility transistor with , , and gate dielectricsČičo, K. / Hušeková, K. / Ťapajna, M. / Gregušová, D. / Stoklas, R. / Kuzmík, J. / Carlin, J.-F. / Grandjean, N. / Pogany, D. / Fröhlich, K. et al. | 2011
-
Traps and trapping phenomena and their implications on electrical behavior of high- capacitor stacksPaskaleva, A. / Lemberger, M. / Atanassova, E. / Bauer, A. J. et al. | 2011
-
Nanostructured resistive memory cells based on 8-nm-thin films deposited by atomic layer depositionKügeler, C. / Zhang, J. / Hoffmann-Eifert, S. / Kim, S. K. / Waser, R. et al. | 2011
-
Temperature dependence of the resistance switching effect studied on the metal/ planar junctionsTomasek, Milan / Plecenik, Tomas / Truchly, Martin / Noskovic, Jaroslav / Roch, Tomas / Zahoran, Miroslav / Chromik, Stefan / Spankova, Mariana / Kus, Peter / Plecenik, Andrej et al. | 2011
-
Mesoscopic nature of the electron transport in electroformed metal-insulator-metal switchesMiranda, E. et al. | 2011
-
Dielectric layers suitable for high voltage integrated trench capacitors 01AB04Vom Dorp, J et al. | 2011
-
Enhanced outcoupling of electroluminescence from ZnS:ErF3 thin films by a photonic crystal 011003Law, Evan et al. | 2011
-
Processing dependences of channel hot-carrier degradation on strained-Si p-channel metal-oxide semiconductor field-effect transistors 01AB07Amat, E et al. | 2011
-
Fabrication of flexible ultracapacitor/galvanic cell hybrids using advanced nanoparticle coating technology 011008Peckerar, Martin et al. | 2011
-
Hot-carrier degradation caused interface state profile — Simulation versus experiment 01AB09Starkov, I et al. | 2011
-
Influence of precursor chemistry and growth temperature on the electrical properties of SrTiO3-based metal-insulator-metal capacitors grown by atomic layer deposition 01AC04García, H et al. | 2011
-
High verticality InP/InGaAsP etching in Cl2/H2/Ar inductively coupled plasma for photonic integrated circuits 011016Parker, John S et al. | 2011
-
Fabrication of trench nanostructures for extreme ultraviolet lithography masks by atomic force microscope lithography 011034Kwon, Gwangmin et al. | 2011
-
Structural and electrical properties of TixAl1-xOy thin films grown by atomic layer deposition 01A302Alekhin, A P et al. | 2011
-
Investigation of the radiation-induced thermal flexure of an x-ray lithography mask during a tilted exposure 011007Nazmov, V et al. | 2011
-
Mechanistic considerations of low temperature hydrogen-based plasma etching of Cu 011013Wu, Fangyu et al. | 2011
-
Impact of halo implant on the hot carrier reliability of germanium p-channel metal-oxide-semiconductor field-effect transitors 01A804Franco, J et al. | 2011
-
Residue growth on metallic hard mask after dielectric etching in fluorocarbon based plasmas. II. Solutions 011018Posseme, N et al. | 2011
-
Scalable nanoimprint patterning of thin graphitic oxide sheets and in situ reduction 011023Lee, Yeong-Yuh et al. | 2011
-
Rare-earth oxide/TiN gate stacks on high mobility strained silicon on insulator for fully depleted metal-oxide-semiconductor field-effect transistors 01A903Durgun Özben, E et al. | 2011
-
Nanoscale depth-resolved electronic properties of SiO2/SiOx/SiO2 for device-tolerant electronics 011027Katz, E J et al. | 2011
-
Effects of molecular functionalization sequence on mesoporous silica film propertiesSingh, Binay / Garg, Saurabh / Jain, Ashutosh / Moore, Richard / Ramanath, Ganpati et al. | 2011
-
13 nm high-efficiency nickel-germanium soft x-ray zone platesReinspach, Julia / Lindblom, Magnus / Bertilson, Michael / von Hofsten, Olov / Hertz, Hans M. / Holmberg, Anders et al. | 2011
-
Atomic layer deposition of and layers on 300 mm Si wafers for gate stack technologyLupták, R. / Lopes, J. M. J. / Lenk, St. / Holländer, B. / Özben, E. Durğun / Tiedemann, A. T. / Schnee, M. / Schubert, J. / Habicht, S. / Feste, S. et al. | 2011
-
Interfacial properties of dielectric film on Ge substrateHe, Dawei / Cheng, Xinhong / Xu, Dawei / Wang, Zhongjian / Yu, Yuehui / Sun, Qingqing / Zhang, David Wei et al. | 2011
-
Characterization of NbAlO dielectric film deposited on InPHe, Dawei / Cheng, Xinhong / Xu, Dawei / Wang, Zhongjian / Yu, Yuehui / Sun, Qingqing / Zhang, David Wei et al. | 2011
-
Analysis of the effect of germanium preamorphization on interface defects and leakage current for high- metal-oxide-semiconductor field-effect transistorRoll, G. / Jakschik, S. / Goldbach, M. / Wachowiak, A. / Mikolajick, T. / Frey, L. et al. | 2011
-
Gate oxide reliability at the nanoscale evaluated by combining conductive atomic force microscopy and constant voltage stressErlbacher, T. / Yanev, V. / Rommel, M. / Bauer, A. J. / Frey, L. et al. | 2011
-
Influence of precursor chemistry and growth temperature on the electrical properties of -based metal-insulator-metal capacitors grown by atomic layer depositionGarcía, H. / Castán, H. / Gómez, A. / Dueñas, S. / Bailón, L. / Kukli, K. / Kariniemi, M. / Kemell, M. / Niinistö, J. / Ritala, M. et al. | 2011
-
Evaluation of as an alternative blocking dielectric in TANOS memories with or Si-rich SiN charge trapping layersCongedo, G. / Spiga, S. / Russo, U. / Lamperti, A. / Salicio, O. / Cianci, E. / Fanciulli, M. et al. | 2011
-
Deposit profiles characterized by the seed layer in Cu pulse-reverse plating on a patterned substrate 011004Cho, Sung Ki et al. | 2011
-
Characterisation of Dielectrics at Nano-Scale - Characterization of thickness variations of thin dielectric layers at the nanoscale using scanning capacitance microscopy 01A401Yanev, V et al. | 2011
-
13 nm high-efficiency nickel-germanium soft x-ray zone plates 011012Reinspach, Julia et al. | 2011
-
Analysis of leakage current mechanisms in RuO2-TiO2-RuO2 MIM structures 01AC08Racko, J et al. | 2011
-
Fluorine implantation for effective work function control in p-type metal-oxide-semiconductor high-κ metal gate stacks 01A905Fet, A et al. | 2011
-
Synthesis and characterization of DyScO films deposited on Si and Si-rich SiN by atomic layer deposition for blocking layer replacement in TANOS stack 01AE03Lamperti, A et al. | 2011
-
Deposit profiles characterized by the seed layer in Cu pulse-reverse plating on a patterned substrateCho, Sung Ki / Kim, Myung Jun / Lim, Taeho / Kwon, Oh Joong / Kim, Jae Jeong et al. | 2011
-
Compact holographic lithography system for photonic-crystal structureHsieh, Mei-Li / Lin, Shawn-Yu et al. | 2011
-
Etch mechanisms of silicon gate structures patterned in inductively coupled plasmasLuere, O. / Pargon, E. / Vallier, L. / Pelissier, B. / Joubert, O. et al. | 2011
-
Multiphonon hole trapping from first principlesSchanovsky, F. / Gös, W. / Grasser, T. et al. | 2011
-
Effect of gate capping configurations and silicon-on-insulator thickness with external stresses on partially depleted metal-oxide-semiconductor field-effect transistorsChang, Wen-Teng / Lin, Jian-An / Wang, Chih-Chung / Yeh, Wen-Kuan et al. | 2011
-
Current instabilities in rare-earth oxides- gate stacks grown on germanium based metal-oxide-semiconductor devices due to Maxwell–Wagner instabilities and dielectrics relaxationRahman, M. S. / Evangelou, E. K. / Dimoulas, A. / Mavrou, G. / Galata, S. et al. | 2011
-
Reliability studies on high- dielectric metal-insulator-metal capacitors prepared by wet anodizationSedghi, N. / Davey, W. / Mitrovic, I. Z. / Hall, S. et al. | 2011
-
Atomic-scale engineering of future high- dynamic random access memory dielectrics: The example of partial Hf substitution by Ti inDudek, P. / Lupina, G. / Kozłowski, G. / Zaumseil, P. / Bauer, J. / Fursenko, O. / Dabrowski, J. / Schmidt, R. / Lippert, G. / Müssig, H.-J. et al. | 2011