Fluorine implantation in germanium for dopant diffusion control (Englisch)
- Neue Suche nach: Shayesteh, M.
- Neue Suche nach: Djara, V.
- Neue Suche nach: Schmidt, M.
- Neue Suche nach: White, M.
- Neue Suche nach: Kelleher, A.-M.
- Neue Suche nach: Duffy, Ray
- Neue Suche nach: Shayesteh, M.
- Neue Suche nach: Djara, V.
- Neue Suche nach: Schmidt, M.
- Neue Suche nach: White, M.
- Neue Suche nach: Kelleher, A.-M.
- Neue Suche nach: Duffy, Ray
- Neue Suche nach: Pelaz, Lourdes
- Neue Suche nach: Santos, Iván
- Neue Suche nach: Duffy, Ray
- Neue Suche nach: Torregrosa, Frank
- Neue Suche nach: Bourdelle, Konstantin
In:
AIP Conference Proceedings
;
1496
, 1
;
115-118
;
2012
- Aufsatz (Zeitschrift) / Elektronische Ressource
-
Titel:Fluorine implantation in germanium for dopant diffusion control
-
Beteiligte:Shayesteh, M. ( Autor:in ) / Djara, V. ( Autor:in ) / Schmidt, M. ( Autor:in ) / White, M. ( Autor:in ) / Kelleher, A.-M. ( Autor:in ) / Duffy, Ray ( Autor:in ) / Pelaz, Lourdes ( Herausgeber:in ) / Santos, Iván ( Herausgeber:in ) / Duffy, Ray ( Herausgeber:in ) / Torregrosa, Frank ( Herausgeber:in )
-
Erschienen in:AIP Conference Proceedings ; 1496, 1 ; 115-118
-
Verlag:
- Neue Suche nach: American Institute of Physics
-
Erscheinungsdatum:06.11.2012
-
Format / Umfang:4 pages
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
Inhaltsverzeichnis – Band 1496, Ausgabe 1
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Preface: 19th International Conference on Ion Implantation TechnologyPelaz, Lourdes / Santos, Iván / Duffy, Ray / Torregrosa, Frank / Bourdelle, Konstantin et al. | 2012
- 11
-
Advanced technology nodes, a foundry perspectiveFaul, Jürgen / Hoentschel, Jan / Wiatr, Maciej / Horstmann, Manfred et al. | 2012
- 16
-
Ion implantation challenges for power devicesSchustereder, Werner / Fuchs, Dieter / Humbel, Oliver / Brunner, Bernhard / Pölzl, Martin et al. | 2012
- 22
-
Beyond 20nm DRAM peripheral transistor: Challenges and ion implantation solutionsJin, Seungwoo / Lee, AnBae / Kim, ByounGyu / Jang, IlSic / Cha, JaeChun / Choi, DongGoo / Kang, Hyo Sang / Kang, HyoYoung / Cha, SeonYong et al. | 2012
- 26
-
Resistive switching properties of -based ReRAM with implanted Si/Al ionsXie, Hongwei / Wang, Ming / Kurunczi, Peter / Erokhin, Yuri / Liu, Qi / Lv, Hangbing / Li, Yingtao / Long, Shibing / Liu, Su / Liu, Ming et al. | 2012
- 30
-
PIN diode steering element formation for 3D X-bar memoryKhaja, Fareen Adeni / Gossmann, Hans-Joachim / Erokhin, Yuri et al. | 2012
- 34
-
Sub-2y node NAND flash characteristics using spot beam technology for low energy, high tilt implant for n-poly dopingRyu, Chong-Il / Lee, Sangsun / Jeon, Young-Ho / Cheon, Youngil / Choi, Jin-Kwan / Yang, Young-Ho / Lee, Kyung-Won / Hong, Rympyo / Yoon, Jong-Yoon / Reece, Ron et al. | 2012
- 38
-
High-k metal-gate PMOS FinFET threshold voltage tuning with aluminum implantationRao, K. V. / Ngai, T. / Hobbs, C. / Rodgers, M. / Vivekanand, S. / Chavva, V. / Khaja, F. / Henry, T. / Shim, K. H. / Kirsch, P. et al. | 2012
- 42
-
Schottky barrier height tuning using P+ DSS for NMOS contact resistance reductionKhaja, Fareen Adeni / Rao, K. V. / Ni, Chi-Nung / Muthukrishnan, Shankar / Lei, Jianxin / Darlark, Andrew / Peidous, Igor / Brand, Adam / Henry, Todd / Variam, Naushad et al. | 2012
- 46
-
NMOS contact resistance reduction with selenium implant into NiPt silicideRao, K. V. / Khaja, F. A. / Ni, C. N. / Muthukrishnan, S. / Darlark, A. / Lei, J. / Peidous, I. / Brand, A. / Henry, T. / Variam, N. et al. | 2012
- 50
-
Nuclear radiation detectors based on a matrix of ion-implanted p-i-n diodes on undoped GaAs epilayersBaryshnikov, F. M. / Britvich, G. I. / Chernykh, A. V. / Chernykh, S. V. / Chubenko, A. Р. / Didenko, S. I. / Koltsov, G. I. et al. | 2012
- 54
-
Ion implantation and pulsed laser melting processing for the development of an intermediate band materialGarcía-Hemme, E. / García-Hernansanz, R. / Olea, J. / Pastor, D. / del Prado, A. / Mártil, I. / Gónzalez-Díaz, G. et al. | 2012
- 58
-
Leveraging patterned ion implantation to develop high efficiency selective emitter solar cellsDaniels, Kevin / Dubé, Christopher E. / Tsefrekas, Basil / Bhosle, Vikram / Mullin, James / Skinner, Wesley / Sullivan, Paul et al. | 2012
- 63
-
Optical doping of compounds by ion implantation of Tm ionsFialho, M. / Lorenz, K. / Magalhães, S. / Redondo-Cubero, A. / Rodrigues, J. / Santos, N. F. / Monteiro, T. / Alves, E. et al. | 2012
- 67
-
Plasma process optimization for N-type doping applicationsRaj, Deven / Persing, Harold / Salimian, Siamak / Lacey, Kerry / Qin, Shu / Hu, Jeff Y. / McTeer, Allen et al. | 2012
- 71
-
Plasma immersion ion implantation for sub-22 nm node devices: FD-SOI and Tri-GateDuchaine, J. / Milési, F. / Coquand, R. / Barraud, S. / Reboh, S. / Gonzatti, F. / Mazen, F. / Torregrosa, Frank et al. | 2012
- 75
-
Trench doping process for 3D transistors - 2D cross-sectional doping profiling studyQin, Shu / Wang, Zhouguang / Hu, Y. Jeff / McTeer, Allen et al. | 2012
- 79
-
Cryogenic ion implantation near amorphization threshold dose for halo/extension junction improvement in sub-30 nm device technologiesPark, Hugh / Todorov, Stan / Colombeau, Benjamin / Rodier, Dennis / Kouzminov, Dimitry / Zou, Wei / Guo, Baonian / Khasgiwale, Niranjan / Decker-Lucke, Kurt et al. | 2012
- 83
-
Cold implants of boron and manganese ions in Si, , and GaAsVyatkin, A. F. / Agafonov, Yu. A. / Pustovit, A. N. et al. | 2012
- 87
-
Investigation of nitrogen and carbon co-implantation under room temperature and cryo-conditionHe, Yonggen / Wu, Bing / Yu, Guobin / Chen, Yong / Liu, Hailong / He, Youfeng / Dai, Haibo / Wu, Jingang / Zhang, David Wei / Lu, Junfeng et al. | 2012
- 91
-
Effects of implant temperature on process characteristics of low energy boron implanted siliconLiu, Lequn Jennifer / Hsu, Wei Hui / Brumfield, Kyle / Padmanabhan, Radha / Morinville, Wendy / Qin, Shu / Hu, Yongjun Jeff / McTeer, Allen et al. | 2012
- 95
-
Effects of implant temperature and millisecond annealing on dopant activation and diffusionCollart, E. J. H. / Kopalidis, P. M. / Hou, Michael / McCoy, S. / Timans, P. J. / Joshi, A. / Prussin, S. et al. | 2012
- 99
-
Strained Si:C using low temperature clustercarbon implants and laser annealingSekar, Karuppanan / Tokoro, Nobuhiro / Onoda, Hiroshi / Nakashima, Yoshiki / Koga, Yuji / Hamamoto, Nariaki / Nagayama, Tsutomu / Herman, Joshua / Novak, Steve / Rodgers, Martin et al. | 2012
- 103
-
Solid phase epitaxial re-growth of Sn ion implanted germanium thin filmsGiubertoni, D. / Demenev, E. / Gupta, S. / Jestin, Y. / Meirer, F. / Gennaro, S. / Iacob, E. / Pepponi, G. / Pucker, G. / Gwilliam, R. M. et al. | 2012
- 107
-
Phosphorous transient enhanced diffusion suppression and activation enhancement with cluster carbon co-implantationNakashima, Yoshiki / Hamamoto, Nariaki / Nagayama, Tsutomu / Koga, Yuji / Umisedo, Sei / Kawamura, Yasunori / Hashimoto, Masahiro / Onoda, Hiroshi et al. | 2012
- 111
-
Change of fluorine distribution depending on multi-implant conditionsSano, Makoto / Morita, Takao / Fuse, Genshu et al. | 2012
- 115
-
Fluorine implantation in germanium for dopant diffusion controlShayesteh, M. / Djara, V. / Schmidt, M. / White, M. / Kelleher, A.-M. / Duffy, Ray et al. | 2012
- 119
-
“Abnormal” angle response curves of TW/Rs for near zero tilt and high tilt channeling implantsGuo, Baonian / Gossmann, Hans-Joachim / Toh, Terry / Colombeau, Benjamin / Todorov, Stan / Sinclair, Frank / Shim, Kyu-Ha / Henry, Todd et al. | 2012
- 123
-
Microwave annealingLee, Yao-Jen / Cho, T.-C. / Chuang, S.-S. / Hsueh, F.-K. / Lu, Y.-L. / Sung, P.-J. / Chen, S.-J. / Lo, C.-H. / Lai, C.-H. / Current, Michael I. et al. | 2012
- 129
-
USJ formation using solid phase epitaxial regrowth and femtosencond laser annealShih, Tzu-Lang / Chen, Sheng-Wen / Wu, Chang-Peng / Cheng, Chung-Wei / Chien, Chih-Wei / Lee, Wen-Hsi et al. | 2012
- 131
-
Implanted selective emitter solar cells by laser thermal annealingLanterne, Adeline / Manuel, Sylvain / Paviet-Salomon, Bertrand / Gall, Samuel / Tauzin, Aurélie et al. | 2012
- 135
-
Ultra low thermal budget anneals for 3D memories: Access device formationHuet, K. / Boniface, C. / Negru, R. / Venturini, J. et al. | 2012
- 139
-
Pattern effect reduction for spike anneals with different heating approaches in RTP systemsHamm, Silke / Cosceev, Alexandr / Timans, Paul / Lee, Young / Xing, Gary et al. | 2012
- 143
-
Fabrication of Si surface pattern by Ar beam irradiation and annealing methodZhang, J. / Momota, S. / Maeda, K. / Terauchi, H. / Furuta, M. / Kawaharamura, T. / Nitta, N. / Wang, D. et al. | 2012
- 147
-
High-resolution and site-specific scanning spreading resistance microscopy and its applications to silicon devicesZhang, L. / Koike, M. / Takeno, S. / Hara, K. et al. | 2012
- 152
-
Differential Hall analysis of ultrashallow carrier profiles using X-ray photoelectron spectroscopy for nanometer depth resolutionLing, Yu-Ting / Su, Wan-Ting / Pi, Tun-Wen / Chang, Ruey-Dar et al. | 2012
- 156
-
Multi-wavelength Raman and photoluminescence characterization of implanted n+/p junctions under various rapid thermal annealing conditionsYoo, Woo Sik / Ueda, Takeshi / Ishigaki, Toshikazu / Kang, Kitaek / Rouh, Kyoung Bong / Eun, Yong Seok / Kim, Choon Hwan / Kang, Hyo Sang et al. | 2012
- 160
-
Defect detection in recrystallized ultra-shallow implanted silicon by multiwavelength-excited photoluminescenceYoshimoto, Masahiro / Okutani, Masashi / Saikusa, Hiroki / Takashima, Shuhei / Yoo, Woo Sik et al. | 2012
- 164
-
Active dopant profiling of ultra shallow junction annealed with combination of spike lamp and laser annealing processes using scanning spreading resistance microscopyAbo, Satoshi / Ushigome, Naoya / Osae, Hidenori / Wakaya, Fujio / Iwamatsu, Toshiaki / Oda, Hidekazu / Takai, Mikio et al. | 2012
- 167
-
Advanced characterization of carrier profiles in germanium using micro-machined contact probesClarysse, T. / Konttinen, M. / Parmentier, B. / Moussa, A. / Vandervorst, W. / Impellizzeri, G. / Napolitani, E. / Privitera, V. / Nielsen, P. F. / Petersen, D. H. et al. | 2012
- 171
-
Electrical characterization of {311} defects and related junction leakage currents in n-type Si after ion implantationNyamhere, C. / Cristiano, F. / Olivie, F. / Bedel-Pereira, E. / Boucher, J. / Essa, Z. / Bolze, D. / Yamamoto, Y. et al. | 2012
- 175
-
Junction leakage measurements with micro four-point probesLin, Rong / Petersen, Dirch H. / Wang, Fei / Yates, Bradley R. / Jones, Kevin S. / Hansen, Ole / Kontos, Alex / Nielsen, Peter F. et al. | 2012
- 179
-
Characteristics of , Ga and In implanted Si after FLA and RTA annealingWo, Bo / Matsunaga, Yusuke / Aid, Siti Rahmah Binti / Matsumoto, Satoru / Borland, John / Tanjyo, Masayasu et al. | 2012
- 183
-
Formation of arsenic rich silicon oxide under plasma immersion ion implantation and laser annealingMeirer, F. / Demenev, E. / Giubertoni, D. / Gennaro, S. / Vanzetti, L. / Pepponi, G. / Bersani, M. / Sahiner, M. A. / Steinhauser, G. / Foad, M. A. et al. | 2012
- 189
-
Electrical properties of intermediate band (IB) silicon solar cells obtained by titanium ion implantationCastán, Helena / Pérez, Eduardo / García, Héctor / Dueñas, Salvador / Bailón, Luis / Olea, Javier / Pastor, David / García-Hemme, Eric / Irigoyen, Maite / González-Díaz, Germán et al. | 2012
- 193
-
Temperature-dependant study of phosphorus ion implantation in germaniumRazali, M. A. / Smith, A. J. / Jeynes, C. / Gwilliam, R. M. et al. | 2012
- 197
-
Process characterization of a novel conformal FinFET dopingHan, K. / Tang, S. / Rockwell, T. / Godet, L. / Persing, H. / Distaso, D. / Campbell, C. / Salimian, S. et al. | 2012
- 201
-
Modeling and optimization of solar cellsMoroz, Victor / Huang, Joanne / Letay, Gergoe / Martin-Bragado, Ignacio et al. | 2012
- 206
-
Simulation of the post-implantation anneal for emitter profile optimization in high efficiency c-Si solar cellsFlorakis, A. / Vandervorst, W. / Janssens, T. / Rosseel, E. / Douhard, B. / Delmotte, J. / Cornagliotti, E. / Baert, K. / Posthuma, N. / Poortmans, J. et al. | 2012
- 212
-
Process modeling of chemical and stress effects in SiGeZographos, Nikolas / Zechner, Christoph / Castrillo, Pedro / Martin-Bragado, Ignacio et al. | 2012
- 217
-
Simulation of 3D FinFET doping profiles by ion implantationWang, Liping / Brown, Andrew R. / Cheng, Binjie / Asenov, Asen et al. | 2012
- 221
-
Kinetic Monte Carlo simulation of dopant-defect systems under submicrosecond laser thermal processesFisicaro, G. / Pelaz, Lourdes / Lopez, P. / Italia, M. / Huet, K. / Venturini, J. / La Magna, A. et al. | 2012
- 225
-
Predictive process simulation of cryogenic implants for leading edge transistor designGossmann, Hans-Joachim / Zographos, Nikolas / Park, Hugh / Colombeau, Benjamin / Parrill, Thomas / Khasgiwale, Niranjan / Borges, Ricardo / Gull, Ronald / Erokhin, Yuri et al. | 2012
- 229
-
Temperature effect on damage generation mechanisms during ion implantation in Si. A classical molecular dynamics studySantos, Iván / Marqués, L. A. / Pelaz, Lourdes / López, P. / Aboy, M. et al. | 2012
- 233
-
Simulation of plasma immersion ion implantation into siliconBurenkov, A. / Hahn, A. / Spiegel, Y. / Etienne, H. / Torregrosa, Frank et al. | 2012
- 237
-
PIII modeling: Implantation, amorphisation and diffusionEssa, Z. / Cristiano, F. / Spiegel, Y. / Boulenc, P. / Qiu, Y. / Quillec, M. / Taleb, N. / Burenkov, A. / Hackenberg, M. / Bedel-Pereira, E. et al. | 2012
- 241
-
Modeling boron profiles in silicon after pulsed excimer laser annealingHackenberg, M. / Huet, K. / Negru, R. / Venturini, J. / Fisicaro, G. / La Magna, A. / Pichler, P. et al. | 2012
- 245
-
Insights to emitter saturation current densities of boron implanted samples based on defects simulationsMok, K. R. C. / Naber, R. C. G. / Nanver, L. K. et al. | 2012
- 249
-
TCAD simulation of the co-implantation species C, F, and N in MOS transistorsBazizi, E. M. / Mok, K. R. C. / Benistant, F. / Yeong, S. H. / Teo, R. S. / Zechner, C. et al. | 2012
- 253
-
Dependence of near-surface dopant pile-up on post-implant annealing conditionsGorai, Prashun / Kondratenko, Yevgeniy V. / Seebauer, Edmund G. et al. | 2012
- 257
-
Si film separation obtained by high energy proton implantationBraley, C. / Mazen, F. / Papon, A.-M. / Rieutord, F. / Charvet, A.-M. / Ntsoenzok, E. et al. | 2012
- 261
-
Fabrication of ultra-thin diamond films using hydrogen implantation and Lift-off techniquePopov, V. P. / Antonov, V. A. / Safronov, L. N. / Kupriyanov, I. N. / Pal'yanov, Yu. N. / Rubanov, S. et al. | 2012
- 265
-
An effective metals gettering process with a cryogenic carbon implant for CMOS image sensorsChavva, Venkataramana R. / Colombeau, Benjamin / Rao, Kalipatnam V. / Toh, Juay C. / Henry, Todd et al. | 2012
- 268
-
The effects of cluster carbon implantation at low temperature on damage recovery after annealingOnoda, Hiroshi / Nakashima, Yoshiki / Hamamoto, Nariaki / Nagayama, Tsutomu / Koga, Yuji / Umisedo, Sei / Kawamura, Yasunori / Hashimoto, Masahiro et al. | 2012
- 272
-
Arsenic redistribution after solid phase epitaxial regrowth of shallow pre-amorphized silicon layersDemenev, E. / Giubertoni, D. / Gennaro, S. / Bersani, M. / Hourdakis, E. / Nassiopoulou, A. G. / Reading, M. A. / van den Berg, J. A. et al. | 2012
- 276
-
Solid-phase epitaxy of silicon amorphized by implantation of the alkali elements rubidium and cesiumMaier, R. / Häublein, V. / Ryssel, H. / Völlm, H. / Feili, D. / Seidel, H. / Frey, L. et al. | 2012
- 280
-
Nanocell fabrication on GaSb at room temperature and cryogenic temperatureNitta, Noriko / Yokoyama, Kazuhiro / Taniwaki, Masafumi et al. | 2012
- 284
-
Plasma immersion ion implantation for reducing metal ion releaseDíaz, C. / García, J. A. / Mändl, S. / Pereiro, R. / Fernández, B. / Rodríguez, R. J. et al. | 2012
- 288
-
Metal etching with reactive gas cluster ion beams using pickup cellToyoda, Noriaki / Yamada, Isao et al. | 2012
- 292
-
Optimization of cleanliness and oxidation in plasma doped photoresist stripDeLuca, J. / Lee, A. B. / Jin, S. W. / Jang, I. S. / Hou, J. / Mattson, D. / Luo, S. / Scuderi, A. / Yang, Y. J. / Berry, I. et al. | 2012
- 296
-
VIISta Trident: New generation high current implant technologyCampbell, C. / Cucchetti, A. / Sinclair, F. / Kellerman, P. / Radovanov, S. / Falk, S. et al. | 2012
- 300
-
Advanced solutions for yield improvement: “Super PI”Asai, H. / Koga, Y. / Une, H. / Hashino, Y. / Hamamoto, N. / Sakai, S. et al. | 2012
- 304
-
IntelliScan, real-time scan waveform correction for dose uniformity on extreme photoresist implant conditions with optima XExSatoh, Shu / David, Jonathan et al. | 2012
- 308
-
MILD system: Maskless implantation for local dopingNinomiya, Shiro / Okamoto, Yasuharu / Ochi, Akihiro / Yumiyama, Toshio / Matsugi, Hidekazu / Taroura, Hajime / Ookita, Yoshiaki / Tsukihara, Mitsukuni / Fuse, Genshu / Ueno, Kazuyoshi et al. | 2012
- 312
-
Source and beam performance improvement for carbon implantation with carbon monoxide (CO) gasTang, Ying / Chambers, Barry / Yedave, Sharad / Sergi, Steve / Sweeney, Joseph / Despres, Joseph / Bishop, Steve et al. | 2012
- 316
-
Characterization of an RF plasma ion source for ion implantationKopalidis, Peter M. / Wan, Zhimin et al. | 2012
- 320
-
Advanced process control and novel test methods for PVD silicon and elastomeric silicone coatings utilized on ion implant disks, heatsinks and selected platensSpringer, J. / Allen, B. / Wriggins, W. / Kuzbyt, R. / Sinclair, R. et al. | 2012
- 324
-
NISSIN iG5 implantation tool for generation 5.5 in the flat panel display industryMatsumoto, Takeshi / Imai, Katsuharu / Nishimura, Ippei / Inouchi, Yutaka / Dohi, Shojiro / Takahashi, Genki / Tanii, Masahiro / Tatemichi, Junichi / Konishi, Masashi / Naito, Masao et al. | 2012
- 328
-
Multi-cusp ion source for Gen 5.5 doping systemInouchi, Yutaka / Matsumoto, Takeshi / Dohi, Shojiro / Tanii, Masahiro / Takahashi, Genki / Imai, Katsuharu / Nishimura, Ippei / Tatemichi, Junichi / Konishi, Masashi / Naito, Masao et al. | 2012
- 332
-
IMPHEAT high temperature ion implantation systemTobikawa, K. / Ionue, S. / Shingai, T. / Maehara, N. / Uji, N. / Shiojiri, S. / Iwasawa, K. et al. | 2012
- 336
-
Introduction of the MC3-II/GP system, medium current ion implanter with enhanced multi-charge beam currentKoike, Masazumi / Sato, Fumiaki / Sano, Makoto / Kawatsu, Sho / Kariya, Hiroyuki / Kimura, Yasuhiko / Kudo, Tetsuya / Shiraishi, Miyuki / Shinozuka, Masamitsu / Takahashi, Yuji et al. | 2012
- 340
-
Optima MDxt: A high throughput 335 keV mid-dose implanterEisner, Edward / David, Jonathan / Justesen, Perry / Kamenitsa, Dennis / McIntyre, Edward / Rathmell, Robert / Ray, Andrew / Rzeszut, Richard et al. | 2012
- 344
-
Angle performance on optima MDxtDavid, Jonathan / Kamenitsa, Dennis et al. | 2012
- 348
-
MIND+ system; More universal dose patterns by single-step ion implantationOkamoto, Yasuharu / Ninomiya, Shiro / Ochi, Akihiro / Ueno, Yusuke / Yamada, Tatsuya / Kimura, Yasuhiko / Kudo, Tetsuya / Koike, Masazumi / Suetsugu, Noriyuki / Ookita, Yoshiaki et al. | 2012
- 352
-
F-SAVING system productivity improvement for the SHX-IIINinomiya, Shiro / Okamoto, Yasuharu / Ochi, Akihiro / Yumiyama, Toshio / Kurose, Takeshi / Ishikawa, Masaki / Tsuzuki, Takashi / Kimura, Yasuhiko / Ookita, Yoshiaki / Ishikawa, Koji et al. | 2012
- 356
-
Microwave ECR plasma electron flood for low pressure wafer charge neutralizationVanderberg, Bo / Nakatsugawa, Tomoya / Divergilio, William et al. | 2012
- 360
-
Long term study of the effectiveness of in-situ chemical source clean with on ion implanterFuchs, Dieter / Kerkel, Klaus / Vogl, Josef / Dorozynskyj, Michael et al. | 2012
- 364
-
Beam energy tracking system on Optima XEx high energy ion implanterDavid, Jonathan / Satoh, Shu / Wu, Xiangyang / Geary, Cindy / Deluca, James et al. | 2012
- 368
-
Neutralization of space charge on high-current low-energy ion beam by low-energy electrons supplied from silicon based field emitter arraysGotoh, Yasuhito / Tsuji, Hiroshi / Taguchi, Shuhei / Ikeda, Keita / Kitagawa, Takayuki / Ishikawa, Junzo / Sakai, Shigeki et al. | 2012
- 372
-
Enhanced life ion source for germanium and carbon ion implantationHsieh, Tseh-Jen / Colvin, Neil / Kondratenko, Serguei et al. | 2012
- 376
-
Mass and charge overlaps in beamline implantation into compound semiconductor materialsCurrent, M. I. / Eddy, R. / Hudak, C. / Serfass, J. / Mount, G. et al. | 2012
- 380
-
Plasma chemistry study of PLAD processesQin, Shu / Brumfield, Kyle / Liu, Lequn Jennifer / Hu, Yongjun Jeff / McTeer, Allen / Hsu, Wei Hui / Wang, Maoying et al. | 2012
- 386
-
Beam current improvement and source life performance of diboron tetrafluoride () for boron implantation on applied materials VIISta high current implantersTang, Ying / Bassom, Neil J. / Young, James / Sweeney, Joseph / Ray, Richard et al. | 2012
- 390
-
Extraction of aluminum ions from a plasma-sputter-type ion sourceWada, M. / Kasuya, T. / Kenmotsu, T. / Miyamoto, N. / Sasaki, D. et al. | 2012
- 394
-
Gas cylinder release rate testing and analysisDespres, Joseph / Sweeney, Joseph / Yedave, Sharad / Chambers, Barry et al. | 2012
- 398
-
Comparison of SAGS I vs. SAGS II delivery systems in emerging implantation technologiesDespres, Joseph / Sweeney, Joseph et al. | 2012
- 402
-
Radiation protection for high energy implantation of light ions in a production environmentKroener, Friedrich / Schustereder, Werner / Fuchs, Dieter et al. | 2012
- 406
-
Comparison between single- and dual-electrode ion source systems for low-energy ion transportVasquez Jr., M. / Tokumura, S. / Kasuya, T. / Maeno, S. / Wada, M. et al. | 2012
- 410
-
Ion beams extraction and measurements of plasma parameters on a multi-frequencies microwaves large bore ECRIS with permanent magnetsNozaki, Dai / Kiriyama, Ryutaro / Takenaka, Tomoya / Kurisu, Yosuke / Yano, Keisuke / Sato, Fuminobu / Kato, Yushi / Iida, Toshiyuki et al. | 2012
- 414
-
Oscillation phenomena of a plasma produced by an AC driven hot cathodeTakahashi, Yuki / Miyamoto, Naoki / Kasuya, Toshiro / Wada, Motoi et al. | 2012
- 418
-
Characterization of the beam transmission improvements for p- and n-LDD implantations on a single wafer high current spot beam implanterSchmeide, Matthias / Kondratenko, Serguei / Deichler, Josef et al. | 2012
- 422
-
Neutralization of space charge in magnetic field by electrons supplied from silicon based field emitter arraysDaimaru, Tomohiro / Sakai, Shigeki / Gotoh, Yasuhito et al. | 2012
- 426
-
Enhanced production of ECR plasma by using pulse mode microwaves on a large bore ECRIS with permanent magnetsKato, Yushi / Kiriyama, Ryutaro / Takenaka, Tomoya / Kurisu, Yosuke / Nozaki, Dai / Yano, Keisuke / Sato, Fuminobu / Iida, Toshiyuki et al. | 2012
- 430
-
Improved efficiency and precise temperature control of low-frequency induction-heating pure iron vapor source on ECR ion sourceKato, Y. / Takenaka, T. / Yano, K. / Kiriyama, R. / Kurisu, Y. / Nozaki, D. / Muramatsu, M. / Kitagawa, A. / Uchida, T. / Yoshida, Y. et al. | 2012
- 434
-
Improvement of microwave feeding on a large bore ECRIS with permanent magnets by using coaxial semi-dipole antennaKurisu, Yosuke / Sakamoto, Naoki / Kiriyama, Ryutaro / Takenaka, Tomoya / Nozaki, Dai / Yano, Keisuke / Sato, Fuminobu / Kato, Yushi / Iida, Toshiyuki et al. | 2012
-
Front Matter for Volume 1496| 2012
-
Back Matter for Volume 1496| 2012