Study of process contributions to total overlay error budget for sub- memory devices (Englisch)
- Neue Suche nach: Shin, Jangho
- Neue Suche nach: Kang, Hyunjae
- Neue Suche nach: Choi, SungWon
- Neue Suche nach: Woo, Seoukhoon
- Neue Suche nach: Kim, Hochul
- Neue Suche nach: Lee, SukJoo
- Neue Suche nach: Lee, Junghyeon
- Neue Suche nach: Kang, Chang-Jin
- Neue Suche nach: Shin, Jangho
- Neue Suche nach: Kang, Hyunjae
- Neue Suche nach: Choi, SungWon
- Neue Suche nach: Woo, Seoukhoon
- Neue Suche nach: Kim, Hochul
- Neue Suche nach: Lee, SukJoo
- Neue Suche nach: Lee, Junghyeon
- Neue Suche nach: Kang, Chang-Jin
In:
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
;
25
, 6
;
2444-2446
;
2007
- Aufsatz (Zeitschrift) / Elektronische Ressource
-
Titel:Study of process contributions to total overlay error budget for sub- memory devices
-
Weitere Titelangaben:Study of process contributions to total overlay error budget
-
Beteiligte:Shin, Jangho ( Autor:in ) / Kang, Hyunjae ( Autor:in ) / Choi, SungWon ( Autor:in ) / Woo, Seoukhoon ( Autor:in ) / Kim, Hochul ( Autor:in ) / Lee, SukJoo ( Autor:in ) / Lee, Junghyeon ( Autor:in ) / Kang, Chang-Jin ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: American Vacuum Society
-
Erscheinungsdatum:01.11.2007
-
Format / Umfang:3 pages
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
Inhaltsverzeichnis – Band 25, Ausgabe 6
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1743
-
Extreme ultraviolet lithography: A reviewWu, Banqiu / Kumar, Ajay et al. | 2007
- 1762
-
Effect of process parameters on via formation in Si using deep reactive ion etchingAbhulimen, I. U. / Polamreddy, S. / Burkett, S. / Cai, L. / Schaper, L. et al. | 2007
- 1771
-
Fabrication of a Fresnel zone plate through electron beam lithographic process and its application to measuring of critical dimension scanning electron microscope performanceKim, J. / Jalhadi, K. / Lee, S.-Y. / Joy, D. C. et al. | 2007
- 1776
-
Defects in HgTe grown by molecular beam epitaxy on (211)B-oriented CdZnTe substratesSelvig, E. / Tonheim, C. R. / Kongshaug, K. O. / Skauli, T. / Lorentzen, T. / Haakenaasen, R. et al. | 2007
- 1785
-
Enhanced field emission from carbon nanotubes with a thin layer of low work function barium strontium oxide surface coatingJin, Feng / Liu, Yan / Day, Christopher M. / Little, Scott A. et al. | 2007
- 1789
-
Development of chemical-mechanical polished high-resolution zone platesRehbein, Stefan / Guttmann, Peter / Werner, Stephan / Schneider, Gerd et al. | 2007
- 1794
-
Electrical properties and deep traps in ZnO films grown by molecular beam epitaxyPolyakov, A. Y. / Smirnov, N. B. / Belogorokhov, A. I. / Govorkov, A. V. / Kozhukhova, E. A. / Osinsky, A. V. / Xie, J. Q. / Hertog, B. / Pearton, S. J. et al. | 2007
- 1799
-
Proposed single layer composite film used as high transmission phase shifting masks for the 32, 45, and technology nodesLai, Fu-Der / Huang, Jian Long et al. | 2007
- 1804
-
Critical parameter determination of sonic flow controller diamond microtubes and micronozzlesMammana, S. S. / Degasperi, F. T. / Salvadori, M. C. / Sparapani, D. C. / Laino, M. F. / Rangel, R. C. / Teixeira, F. S. / Cattani, M. et al. | 2007
- 1808
-
On-wafer monitoring of charge accumulation and sidewall conductivity in high-aspect-ratio contact holes during etching processJinnai, Butsurin / Orita, Toshiyuki / Konishi, Mamoru / Hashimoto, Jun / Ichihashi, Yoshinari / Nishitani, Akito / Kadomura, Shingo / Ohtake, Hiroto / Samukawa, Seiji et al. | 2007
- 1814
-
Influence of nanoparticles on electron emission from carbon nanotubesLiu, Huarong / Noguchi, Tsuneyuki / Kato, Shigeki et al. | 2007
- 1819
-
Yield improvement of Cu/low- dual-damascene interconnection by organic cleaning processKim, Nam-Hoon / Kim, Sang-Yong / Lee, Hyun-Ki / Lee, Kang-Yeon / Kim, Chang-Il / Chang, Eui-Goo et al. | 2007
- 1823
-
Growth of ZnSe nanowires by pulsed-laser depositionZhang, Tinwei / Shen, Yiqun / Hu, Wei / Sun, Jian / Wu, Jiada / Ying, Zhifeng / Xu, Ning et al. | 2007
- 1827
-
Fabrication of periodic microstructures on flexible polyimide membranesShelton, D. J. / Tharp, J. S. / Zummo, G. / Folks, W. R. / Boreman, G. D. et al. | 2007
- 1832
-
Evolution of surface morphology of GaN thin films during photoelectrochemical etchingLeach, J. H. / Özgür, Ü. / Morkoç, H. et al. | 2007
- 1836
-
C-doped semi-insulating GaN HFETs on sapphire substrates with a high breakdown voltage and low specific on-resistanceChoi, Y. C. / Shi, J. / Pophristic, M. / Spencer, M. G. / Eastman, L. F. et al. | 2007
- 1842
-
Large-scale growth of single-walled carbon nanotubes using cold-wall chemical vapor depositionShin, K. Y. / Lee, C. T. / Kao, J. S. / Kei, C. C. / Chang, C. M. / Hsiao, C. N. / Liang, J. H. / Leou, K. C. / Tsai, C. H. et al. | 2007
- 1847
-
Formation of single crystal sulfur supersaturated silicon based junctions by pulsed laser meltingTabbal, Malek / Kim, Taegon / Warrender, Jeffrey M. / Aziz, Michael J. / Cardozo, B. L. / Goldman, R. S. et al. | 2007
- 1853
-
Effects and mechanisms of nitrogen incorporation into hafnium oxide by plasma immersion implantationWong, Hei / Sen, Banani / Yang, B. L. / Huang, A. P. / Chu, P. K. et al. | 2007
- 1859
-
Characterization of ruthenium thin films as capping layer for extreme ultraviolet lithography mask blanksYan, Pei-yang / Spiller, Eberhard / Mirkarimi, Paul et al. | 2007
- 1867
-
Zero-dimensional analysis for discharge characteristicsPark, Wontaek et al. | 2007
- 1870
-
Highly selective zero-bias plasma etching of GaN over AlGaNSchuette, Michael L. / Lu, Wu et al. | 2007
- 1875
-
Structural and optical characterization of nanorods/films prepared by oblique angle depositionSmith, W. / Zhang, Z.-Y. / Zhao, Y.-P. et al. | 2007
- 1882
-
Ion energy control at substrates during plasma etching of patterned structuresSilapunt, R. / Wendt, A. E. / Kirmse, K. H. R. et al. | 2007
- 1888
-
Thermal model for a superstrate cooling apparatus for an integrated in-line manufacturing process for thin film photovoltaic devicesEnzenroth, R. A. / Barth, K. L. / Sampath, W. S. / Manivannan, V. et al. | 2007
- 1892
-
Structure and magnetic property of -axis oriented nanoparticles on TiN/-Si underlayersTsuji, Yoshiko / Noda, Suguru / Yamaguchi, Yukio et al. | 2007
- 1896
-
Influence of different surface-passivation dielectrics on high-temperature strain relaxation of AlGaN in heterostructuresChen, D. J. / Tao, Y. Q. / Chen, C. / Xie, Z. L. / Zhai, Z. Y. / Wu, X. S. / Han, P. / Zhang, R. / Zheng, Y. D. et al. | 2007
- 1899
-
Effects of surface plasmon resonant scattering on the power conversion efficiency of organic thin-film solar cellsChang, Y. C. / Chou, F. Y. / Yeh, P. H. / Chen, H. W. / Chang, S.-H. / Lan, Y. C. / Guo, T. F. / Tsai, T. C. / Lee, C. T. et al. | 2007
- 1903
-
Optimized plasma-deposited fluorocarbon coating for dry release and passivation of thin SU-8 cantileversKeller, Stephan / Haefliger, Daniel / Boisen, Anja et al. | 2007
- 1909
-
Structural characteristics of single-crystal nanowires grown by self-catalytic chemical vapor deposition methodHe, Maoqi / Noor Mohammad, S. et al. | 2007
- 1916
-
Atomic diffusion and electronic structure in heterostructuresSmith, P. E. / Lueck, M. / Ringel, S. A. / Brillson, L. J. et al. | 2007
- 1922
-
Atomic layer deposited stacked gate dielectrics for metal-oxide-semiconductor structuresKim, Seokhoon / Woo, Sanghyun / Kim, Hyungchul / Jeong, Wooho / Park, Taeyong / Kim, Honggyu / Kim, Sung Bae / Jeon, Hyeongtag et al. | 2007
- 1928
-
Mechanisms of porous dielectric film modification induced by reducing and oxidizing ash plasmasPosseme, N. / Chevolleau, T. / David, T. / Darnon, M. / Louveau, O. / Joubert, O. et al. | 2007
- 1953
-
Graphoepitaxial cylindrical block copolymer nanodomains evaluated as bit patterned media templateXiao, Shuaigang / Yang, XiaoMin et al. | 2007
- 1958
-
Imaging layers for the directed assembly of block copolymer films: Dependence of the physical and chemical properties of patterned polymer brushes on brush molecular weightStuen, K. O. / In, I. / Han, E. / Streifer, J. A. / Hamers, R. J. / Nealey, P. F. / Gopalan, P. et al. | 2007
- 1963
-
Pattern transfer using poly(styrene-block-methyl methacrylate) copolymer films and reactive ion etchingLiu, Chi-Chun / Nealey, Paul F. / Ting, Yuk-Hong / Wendt, Amy E. et al. | 2007
- 1969
-
Exploring the manufacturability of using block copolymers as resist materials in conjunction with advanced lithographic toolsCraig, Gordon S. W. / Nealey, Paul F. et al. | 2007
- 1976
-
Submicron aligned wafer bonding via capillary forcesTupek, Michael R. / Turner, Kevin T. et al. | 2007
- 1982
-
Creation of sub- contact using diblock copolymer on a wafer for complementary metal oxide semiconductor applicationsLi, Wai-kin / Yang, Sam et al. | 2007
- 1985
-
Nanostructures using self-assembled multilayers as molecular rulers and etch resistsSrinivasan, C. / Hohman, J. N. / Anderson, M. E. / Weiss, P. S. / Horn, M. W. et al. | 2007
- 1989
-
Rapid partial melt crystallization of silicon for monolithic three-dimensional integrationWitte, D. J. / Pickard, D. S. / Crnogorac, F. / Pianetta, P. / Pease, R. F. W. et al. | 2007
- 1993
-
Surface energy induced patterning of organic and inorganic materials on heterogeneous Si surfacesTao, L. / Crouch, A. / Yoon, F. / Lee, B. K. / Guthi, J. S. / Kim, J. / Gao, J. / Hu, W. et al. | 2007
- 1998
-
Influence of the development process on ultimate resolution electron beam lithography, using ultrathin hydrogen silsesquioxane resist layersGrigorescu, Anda E. / van der Krogt, Marco C. / Hagen, Cees W. / Kruit, Pieter et al. | 2007
- 2004
-
Nanofabrication of high aspect ratio x-ray zone plates for x-ray imaging applicationsFeng, Yan / Feser, Michael / Lyon, Alan / Rishton, Steve / Zeng, Xianghui / Chen, Sharon / Sassolini, Simone / Yun, Wenbing et al. | 2007
- 2008
-
Accurate control of remaining resist depth for nanoscale three-dimensional structures in electron-beam grayscale lithographyLee, S.-Y. / Anbumony, K. et al. | 2007
- 2013
-
Optimal temperature for development of poly(methylmethacrylate)Cord, Bryan / Lutkenhaus, Jodie / Berggren, Karl K. et al. | 2007
- 2017
-
Imaging with surface sensitive backscattered electronsLuo, T. / Khursheed, A. et al. | 2007
- 2020
-
Novel magnetic microstigmator for electron beam astigmatism correction in the electron beam microcolumn systemRong, Rong / Kim, Ho Seob / Park, Seong Soon / Hwang, Nam Woo / Park, Kyoung Wan / Jin, Sang Won / Ahn, Chong H. et al. | 2007
- 2025
-
Using high-contrast salty development of hydrogen silsesquioxane for sub- half-pitch lithographyYang, Joel K. W. / Berggren, Karl K. et al. | 2007
- 2030
-
Sub- hybrid lithography (electron beam∕deep ultraviolet) and etch process for fully depleted metal oxide semiconductor transistorsPauliac-Vaujour, S. / Brianceau, P. / Landis, S. / Chiaroni, J. / Faynot, O. et al. | 2007
- 2034
-
Enhanced stitching for the fabrication of photonic structures by electron beam lithographyGnan, M. / Macintyre, D. S. / Sorel, M. / De La Rue, R. M. / Thoms, S. et al. | 2007
- 2038
-
Evaluation of hybrid lithography and mix and match scenarios for electron beam direct write applicationsHohle, C. / Arndt, C. / Choi, K.-H. / Kretz, J. / Lutz, T. / Thrum, F. / Keil, K. et al. | 2007
- 2041
-
Patterning issues in superconducting nanowire single photon detector fabricationConstancias, C. / Espiau de Lamaëstre, R. / Louveau, O. / Cavalier, P. / Villégier, J.-C. et al. | 2007
- 2045
-
Influence of temperature on HSQ electron-beam lithographyHäffner, M. / Haug, A. / Heeren, A. / Fleischer, M. / Peisert, H. / Chassé, T. / Kern, D. P. et al. | 2007
- 2049
-
Extracting the Boersch effect contribution from experimental energy spread measurements for Schottky electron emittersBronsgeest, M. S. / Barth, J. E. / Schwind, G. A. / Swanson, L. W. / Kruit, P. et al. | 2007
- 2055
-
Multilayer phase-only diffraction gratings: Fabrication and application to extreme ultraviolet opticsSalmassi, Farhad / Gullikson, Eric M. / Anderson, Erik H. / Naulleau, Patrick P. et al. | 2007
- 2059
-
Process characterization of inductively coupled plasma etched silicon nanopillars by micro-RamanLaws, G. M. / Handugan, A. / Eschrich, T. / Boland, P. / Sinclair, C. / Myhajlenko, S. / Poweleit, C. D. et al. | 2007
- 2064
-
Improving electron beam resist sensitivity by preexposure to deep ultraviolet radiationMurali, Raghunath / Brown, Devin / Martin, Kevin P. / Meindl, James D. et al. | 2007
- 2068
-
Fabrication of spiral-phase diffractive elements using scanning-electron-beam lithographyTsai, Hsin-Yu / Smith, Henry I. / Menon, Rajesh et al. | 2007
- 2072
-
Real-time spatial-phase locking for vector-scan electron beam lithographyYang, Yugu / Hastings, J. T. et al. | 2007
- 2077
-
Metal-semiconductor-metal electron detectorsAldana, Rafael / Pease, R. Fabian et al. | 2007
- 2081
-
Nanometer-scale gaps in hydrogen silsesquioxane resist for -gate fabricationJin, Niu / Choi, Sookyung / Wang, Liang / Chen, Guang / Kim, DongHyun / Kumar, Vipan / Adesida, Ilesanmi et al. | 2007
- 2085
-
Effects of developer temperature on electron-beam-exposed hydrogen silsesquioxane resist for ultradense silicon nanowire fabricationChoi, Sookyung / Jin, Niu / Kumar, Vipan / Adesida, Ilesanmi / Shannon, Mark et al. | 2007
- 2089
-
Extreme ultraviolet lithography: From research to manufacturingLa Fontaine, Bruno / Deng, Yunfei / Kim, Ryoung-han / Levinson, Harry J. / Okoroanyanwu, Uzodinma / Sandberg, Richard / Wallow, Tom / Wood, Obert et al. | 2007
- 2094
-
Nanoscale patterning in high resolution HSQ photoresist by interferometric lithography with tabletop extreme ultraviolet lasersWachulak, P. W. / Capeluto, M. G. / Marconi, M. C. / Patel, D. / Menoni, C. S. / Rocca, J. J. et al. | 2007
- 2098
-
Growth and printability of multilayer phase defects on extreme ultraviolet mask blanksLiang, Ted / Ultanir, Erdem / Zhang, Guojing / Park, Seh-Jin / Anderson, Erik / Gullikson, Eric / Naulleau, Patrick / Salmassi, Farhad / Mirkarimi, Paul / Spiller, Eberhard et al. | 2007
- 2104
-
Evaluation of surface roughness of Zerodur® substrates machined by ion beam with energy ofKurashima, Yuichi / Uozumi, Ryou / Miyamoto, Iwao / Ando, Manabu / Numata, Atsushi et al. | 2007
- 2110
-
Figuring and smoothing capabilities of elastic emission machining for low-thermal-expansion glass opticsKanaoka, M. / Liu, C. / Nomura, K. / Ando, M. / Takino, H. / Fukuda, Y. / Mimura, H. / Yamauchi, K. / Mori, Y. et al. | 2007
- 2114
-
Estimation of diffusion lengths of acid and quencher in chemically amplified resist on the basis of extreme ultraviolet exposure resultsTanaka, Yuusuke / Kikuchi, Yukiko / Goo, DooHoon / Oizumi, Hiroaki / Nishiyama, Iwao et al. | 2007
- 2118
-
In situ x-ray absorption near-edge structure analysis for extreme ultraviolet lithography projection optics contaminationNiibe, Masahito / Kakutani, Yukinobu / Koida, Keigo / Matsunari, Shuichi / Aoki, Takashi / Terashima, Shigeru / Takase, Hiromitsu / Murakami, Katsuhiko / Fukuda, Yasuaki et al. | 2007
- 2123
-
Bit-array patterns with density over fabricated by extreme ultraviolet interference lithographySolak, Harun H. / Ekinci, Yasin et al. | 2007
- 2127
-
Extreme ultraviolet lithography at IMEC: Shadowing compensation and flare mitigation strategyLorusso, G. F. / Goethals, A. M. / Jonckheere, R. / Hermans, J. / Ronse, K. / Myers, A. M. / Kim, I. / Niroomand, A. / Iwamoto, F. / Ritter, D. et al. | 2007
- 2132
-
Advanced resist testing using the SEMATECH Berkeley extreme ultraviolet microfield exposure toolNaulleau, Patrick P. / Anderson, Christopher N. / Dean, Kim / Denham, Paul / Goldberg, Kenneth A. / Hoef, Brian / Niakoula, Dimitra / La Fontaine, Bruno / Wallow, Tom et al. | 2007
- 2136
-
Effects of photoacid generator incorporation into the polymer main chain on chemically amplified resist behavior and lithographic performanceLee, Cheng-Tsung / Henderson, Clifford L. / Wang, Mingxing / Gonsalves, Kenneth E. / Yueh, Wang et al. | 2007
- 2140
-
Influence of solubility switching mechanism on resist performance in molecular glass resistsLawson, Richard A. / Lee, Cheng-Tsung / Henderson, Clifford L. / Whetsell, Robert / Tolbert, Laren / Yueh, Wang et al. | 2007
- 2145
-
Progress in extreme ultraviolet interferometric and holographic lithographyIsoyan, A. / Cheng, Y.-C. / Jiang, F. / Wallace, J. / Cerrina, F. / Bollepalli, S. et al. | 2007
- 2151
-
Dual-domain scanning illuminator for the SEMATECH Berkeley microfield exposure toolAnderson, Christopher N. / Naulleau, Patrick P. / Denham, Paul / Kemp, Drew / Rekawa, Senajith et al. | 2007
- 2155
-
Analysis of Coulomb and Johnsen-Rahbek electrostatic chuck performance for extreme ultraviolet lithographySogard, M. R. / Mikkelson, A. R. / Nataraju, M. / Turner, K. T. / Engelstad, R. L. et al. | 2007
- 2162
-
Production of noble gas ion beams in a focused ion beam machine using an electron beam ion trapUllmann, Falk / Grossmann, Frank / Ovsyannikov, Vladimir P. / Gierak, Jacques / Bourhis, Eric / Ferré, Jacques / Jamet, Jean Pierre / Mougin, Alexandra / Zschornack, Günter et al. | 2007
- 2168
-
Fabrication of zinc nanotip arrays by ion beam sputteringChao, Liang-Chiun / Liau, Chung-Chi / Lee, Jun-Wei / Tsai, Fu-Chieh et al. | 2007
- 2171
-
Fabrication of three-dimensional structures of resist by proton beam writingFuruta, Yusuke / Uchiya, Naoyuki / Nishikawa, Hiroyuki / Haga, Junji / Sato, Takahiro / Oikawa, Masakazu / Ishii, Yasuyuki / Kamiya, Tomihiro et al. | 2007
- 2175
-
Focused ion beam iodine-enhanced etching of high aspect ratio holes in InP photonic crystalsCallegari, V. / Nellen, P. M. / Kaufmann, J. / Strasser, P. / Robin, F. / Sennhauser, U. et al. | 2007
- 2180
-
Deposition of carbonaceous structures using focused Au and Si ion-beam-induced chemical vapor deposition methodsOkada, Ryo / Yo, Takuma / Yanagisawa, Junichi / Matsui, Shinji et al. | 2007
- 2184
-
Membrane folding by helium ion implantation for three-dimensional device fabricationArora, William J. / Sijbrandij, Sybren / Stern, Lewis / Notte, John / Smith, Henry I. / Barbastathis, George et al. | 2007
- 2188
-
Design studies for a high brightness, energetic neutral atom source for proximity lithographyGuo, Hong-jie / Craver, Barry / Reynolds, Jackson / Wolfe, John C. et al. | 2007
- 2192
-
Mechanical nanostepping for atom beam lithographyCraver, B. / Roy, A. / Nounu, H. / Wolfe, J. C. et al. | 2007
- 2196
-
Fabrication of a needle array using a Si gray mask for x-ray lithographyMekaru, Harutaka / Takano, Takayuki / Awazu, Koichi / Takahashi, Masaharu / Maeda, Ryutaro et al. | 2007
- 2202
-
Challenges in dot patterning using electron beam lithography for bit-patterned mediaYang, XiaoMin / Xiao, Shuaigang / Wu, Wei / Xu, Yuan / Mountfield, Keith / Rottmayer, Robert / Lee, Kim / Kuo, David / Weller, Dieter et al. | 2007
- 2210
-
In situ monitoring and control of material growth for high resolution electron beam induced depositionvan Dorp, W. F. / Hagen, C. W. / Crozier, P. A. / Kruit, P. et al. | 2007
- 2215
-
Redeposition characteristics of focused ion beam milling for nanofabricationde Winter, D. A. M. / Mulders, J. J. L. et al. | 2007
- 2219
-
Resolution in focused electron- and ion-beam induced processingUtke, Ivo / Friedli, Vinzenz / Purrucker, Martin / Michler, Johann et al. | 2007
- 2224
-
Fabrication of half-pitch silicon lines by single-exposure self-aligned spatial-frequency doublingRaub, Alex K. / Li, Dong / Frauenglass, Andrew / Brueck, S. R. J. et al. | 2007
- 2228
-
Focused electron beam induced deposition of nickelPerentes, A. / Sinicco, G. / Boero, G. / Dwir, B. / Hoffmann, P. et al. | 2007
- 2233
-
Oxygen assisted focused electron beam induced deposition of Si-containing materials: Growth dynamicsPerentes, A. / Hoffmann, P. et al. | 2007
- 2239
-
High brightness 100-electron-beam source for high-resolution applicationsZhang, Yanxia / Kruit, P. et al. | 2007
- 2245
-
Cathode ray tube type electron gun as a source for multibeam electron lithographyvan den Brom, A. J. / van Veen, A. H. V. / Weeda, W. M. / Berglund, G. Z. M. / Wieland, M. / Kruit, P. et al. | 2007
- 2250
-
Annealing of electron beam induced deposits of platinum fromErvin, Matthew H. / Chang, Daniel / Nichols, Barbara / Wickenden, Alma / Barry, John / Melngailis, John et al. | 2007
- 2255
-
Electrospun DNA nanofibersBellan, Leon M. / Strychalski, Elizabeth A. / Craighead, Harold G. et al. | 2007
- 2258
-
Multiple beam sub- lithography with miniature electron beam column arraysSilver, C. S. / Spallas, J. P. / Muray, L. P. et al. | 2007
- 2266
-
heterojunction photoelectron sourceMaldonado, Juan R. / Liu, Zhi / Sun, Yun / Schuetter, Scott / Pianetta, Piero / Pease, R. F. W. et al. | 2007
- 2271
-
Subwavelength proximity nanolithography using a plasmonic lensSeo, Sungkyu / Kim, Hyun Chul / Ko, Hyungduk / Cheng, Mosong et al. | 2007
- 2277
-
Monolithic multichannel secondary electron detector for distributed axis electron beam lithography and inspectionPickard, D. S. / Kenney, C. / Tanimoto, S. / Crane, T. / Groves, T. / Pease, R. F. W. et al. | 2007
- 2284
-
Atomic-force lithography with interferometric tip-to-substrate position metrologyMoon, Euclid E. / Kupec, Jan / Mondol, Mark K. / Smith, Henry I. / Berggren, Karl K. et al. | 2007
- 2288
-
Electron beam and optical proximity effect reduction for nanolithography: New resultsPeckerar, Martin / Sander, David / Srivastava, Ankur / Foli, Adakou / Vishkin, Uzi et al. | 2007
- 2295
-
Image contrast slope and line edge roughness of chemically amplified resists for postoptical lithographyKozawa, Takahiro / Tagawa, Seiichi / Santillan, Julius Joseph / Toriumi, Minoru / Itani, Toshiro et al. | 2007
- 2301
-
Study of the assist features effect on the through focus behavior in isoline with an innovative methodLi, Jianliang / Yan, Qiliang / Melvin, Lawrence S. et al. | 2007
- 2307
-
Pattern matching, simulation, and metrology of complex layouts fabricated by electron beam lithographyTsikrikas, N. / Drygiannakis, D. / Patsis, G. P. / Raptis, I. / Gerardino, A. / Stavroulakis, S. / Voyiatzis, E. et al. | 2007
- 2312
-
Visualization of mold filling stages in thermal nanoimprint by using pressure gradientsSchift, Helmut / Bellini, Sandro / Mikkelsen, Morten Bo / Gobrecht, Jens et al. | 2007
- 2317
-
Ultrastiff stage for imprint lithographyJeon, Y. / Feldman, M. / Jiang, L. et al. | 2007
- 2321
-
Chemical nanoimprint lithography for step-and-repeat Si patterningNamatsu, Hideo / Oda, Masatoshi / Yokoo, Atsushi / Fukuda, Makoto / Irisa, Koichi / Tsurumi, Shigeyuki / Komatsu, Kazuhiko et al. | 2007
- 2325
-
Optimizing nanoimprint and transfer-bonding techniques for three-dimensional polymer microstructuresPark, Hyunsoo / Li, Huifeng / Cheng, Xing et al. | 2007
- 2329
-
Fabrication of terahertz hologramsWalsby, E. D. / Alton, J. / Worrall, C. H. / Beere, H. E. / Ritchie, D. A. / Leach, J. / Padgett, M. / Cumming, D. R. S. et al. | 2007
- 2333
-
UV-nanoimprint with the assistance of gas condensation at atmospheric environmental pressureHiroshima, Hiroshi / Komuro, Masanori et al. | 2007
- 2337
-
Fabrication of 3D-photonic crystals via UV-nanoimprint lithographyGlinsner, Thomas / Lindner, Paul / Mühlberger, Michael / Bergmair, Iris / Schöftner, Rainer / Hingerl, Kurt / Schmid, Holger / Kley, Ernst-Bernhard et al. | 2007
- 2341
-
Time dependent analysis of the resist deformation in thermal nanoimprinta)Hirai, Yoshihiko / Onishi, Yuki / Tanabe, Toshiaki / Nishihata, Masayoshi / Iwasaki, Takuya / Kawata, Hiroaki / Iriye, Yasuroh et al. | 2007
- 2346
-
Nanoimprint lithography processes on Si wafer for optical application: Residual thickness etching anisotropyChaix, N. / Gourgon, C. / Perret, C. / Landis, S. / Leveder, T. et al. | 2007
- 2352
-
Stretching and selective immobilization of DNA in SU-8 micro- and nanochannelsYang, B. / Dukkipati, V. R. / Li, D. / Cardozo, B. L. / Pang, S. W. et al. | 2007
- 2357
-
Fabrication of three dimensional structures for an UV curable nanoimprint lithography mold using variable dose control with critical-energy electron beam exposureMohamed, K. / Alkaisi, M. M. / Blaikie, R. J. et al. | 2007
- 2361
-
Sub- three-dimensional nanoimprint lithographyUnno, Noriyuki / Taniguchi, Jun / Ishii, Yoshiaki et al. | 2007
- 2365
-
Surface characterization of imprinted resist above glass transition temperatureLévéder, T. / Landis, S. / Davoust, L. / Soulan, S. / Tortai, J.-H. / Chaix, N. et al. | 2007
- 2370
-
Micro-nano mixture patterning by thermal-UV novel nanoimprinta)Okuda, Keisuke / Niimi, Naoyuki / Kawata, Hiroaki / Hirai, Yoshihiko et al. | 2007
- 2373
-
Benchmarking of features in thermal nanoimprintGourgon, C. / Chaix, N. / Schift, H. / Tormen, M. / Landis, S. / Sotomayor Torres, C. M. / Kristensen, A. / Pedersen, R. H. / Christiansen, M. B. / Fernandez-Cuesta, I. et al. | 2007
- 2379
-
Coarse-grain simulation of viscous flow and stamp deformation in nanoimprintSirotkin, V. / Svintsov, A. / Zaitsev, S. / Schift, H. et al. | 2007
- 2384
-
Photopolymerization kinetic study of UV nanoimprint lithography dedicated resistsVoisin, P. / Zelsmann, M. / Ridaoui, H. / Chouiki, M. / Gourgon, C. / Boussey, J. / Zahouily, K. et al. | 2007
- 2388
-
Bilayer metal wire-grid polarizer fabricated by roll-to-roll nanoimprint lithography on flexible plastic substrateAhn, Se Hyun / Kim, Jin-Sung / Guo, L. Jay et al. | 2007
- 2392
-
Impact of glass temperature for thermal nanoimprintScheer, H.-C. / Bogdanski, N. / Wissen, M. / Möllenbeck, S. et al. | 2007
- 2396
-
Characterizing nanoimprint profile shape and polymer flow behavior using visible light angular scatterometryAl-Assaad, Rayan M. / Regonda, Suresh / Tao, Li / Pang, Stella W. / Hu, Wenchuang (Walter) et al. | 2007
- 2402
-
Nonresidual layer imprinting and new replication capabilities demonstrated for fast thermal curable polydimethysiloxanesPina-Hernandez, Carlos / Kim, Jin-Sung / Fu, Peng-Fei / Guo, L. Jay et al. | 2007
- 2407
-
Simple fabrication of UV nanoimprint templates using critical energy electron beam lithographyJoo, Jaebum / Jun, Kimin / Jacobson, Joseph M. et al. | 2007
- 2412
-
Creating micro- and nanostructures on tubular and spherical surfacesLima, O. / Tan, L. / Goel, A. / Negahban, M. / Li, Z. et al. | 2007
- 2419
-
Solid-state electrochemical nanoimprinting of copperSchultz, Peter L. / Hsu, Keng H. / Fang, Nicholas X. / Ferreira, Placid M. et al. | 2007
- 2425
-
Economic approximate models for backscattered electronsBaghaei Rad, Leili / Downes, Ian / Ye, Jun / Adler, David / Pease, R. Fabian W. et al. | 2007
- 2430
-
Improved release strategy for UV nanoimprint lithographyGaridel, Sophie / Zelsmann, Marc / Chaix, Nicolas / Voisin, Pauline / Boussey, Jumana / Beaurain, Arnaud / Pelissier, Bernard et al. | 2007
- 2435
-
Viscosity measurement of nanoimprint lithography resists with a rheological nanoindenterSvintsov, A. A. / Trofimov, O. V. / Zaitsev, S. I. et al. | 2007
- 2439
-
Phase control in multiexposure spatial frequency multiplicationZhao, Yong / Chang, Chih-Hao / Heilmann, Ralf K. / Schattenburg, Mark L. et al. | 2007
- 2444
-
Study of process contributions to total overlay error budget for sub- memory devicesShin, Jangho / Kang, Hyunjae / Choi, SungWon / Woo, Seoukhoon / Kim, Hochul / Lee, SukJoo / Lee, Junghyeon / Kang, Chang-Jin et al. | 2007
- 2447
-
Deep ultraviolet photolithography capability of ZEP520A electron beam resist for mix and match lithographyBrown, Devin K. et al. | 2007
- 2451
-
Photolithography using an optical microscopeGonski, Ron / Melngailis, John et al. | 2007
- 2453
-
Experimental demonstration of dark field illumination using contact hole featuresCrouse, Michael M. / Schmitt-Weaver, Emil / Hansen, Steven G. / Routh, Robert et al. | 2007
- 2461
-
Double patterning overlay budget for technology node single and double mask approachRigolli, Pierluigi / Turco, Catia / Iessi, Umberto / Capetti, Gianfranco / Canestrari, Paolo / Fradilli, Aldo et al. | 2007
- 2466
-
Application of contrast enhancement layer to lithographyKim, Ryoung-han / Levinson, Harry J. et al. | 2007
- 2471
-
Lithography, plasmonics, and subwavelength aperture exposure technologyNgu, Yves / Peckerar, Marty / Dagenais, Mario / Barry, John / Dutt, Birendra (Raj) et al. | 2007
- 2476
-
Laser interferometric nanolithography using a new positive chemical amplified resistLuttge, R. / van Wolferen, H. A. G. M. / Abelmann, L. et al. | 2007
- 2481
-
Acid distribution in chemically amplified extreme ultraviolet resistKozawa, Takahiro / Tagawa, Seiichi / Cao, Heidi B. / Deng, Hai / Leeson, Michael J. et al. | 2007
- 2486
-
Dissolution characteristics and reaction kinetics of molecular resists for extreme-ultraviolet lithographyToriumi, Minoru / Santillan, Julius / Itani, Toshiro / Kozawa, Takahiro / Tagawa, Seiichi et al. | 2007
- 2490
-
Are extreme ultraviolet resists ready for the node?Petrillo, Karen / Wei, Yayi / Brainard, R. / Denbeaux, G. / Goldfarb, Dario / Koay, C.-S. / Mackey, J. / Montgomery, Warren / Pierson, W. / Wallow, T. et al. | 2007
- 2496
-
Measurements of acid generation by extreme ultraviolet irradiation in lithographic filmsGlodde, Martin / Goldfarb, Dario L. / Medeiros, David R. / Wallraff, Gregory M. / Denbeaux, Gregory P. et al. | 2007
- 2504
-
Geometry impact on ultrahigh resolution pattern collapseJouve, A. / Simon, J. / Gonon, L. / Tortai, J. H. et al. | 2007
- 2508
-
Pixelated chemically amplified resists: Investigation of material structure on the spatial distribution of photoacids and line edge roughnessLa, Young-Hye / Park, Sang-Min / Meagley, Robert P. / Leolukman, Melvina / Gopalan, Padma / Nealey, Paul F. et al. | 2007
- 2514
-
Direct measurement of the spatial extent of the in situ developed latent image by neutron reflectivityPrabhu, Vivek M. / Vogt, Bryan D. / Kang, Shuhui / Rao, Ashwin / Lin, Eric K. / Satija, Sushil K. et al. | 2007
- 2521
-
High throughput defect detection with multiple parallel electron beamsvan Himbergen, H. M. P. / Nijkerk, M. D. / de Jager, P. W. H. / Hosman, T. C. / Kruit, P. et al. | 2007
- 2526
-
Photoresist cross-sectioning with negligible damage using a dual-beam FIB-SEM: A high throughput method for profile imagingClarke, James S. / Schmidt, Michael B. / Orji, Ndubuisi G. et al. | 2007
- 2531
-
Hybrid semiconductor/nanoelectronic circuits: Freeing advanced lithography from the alignment accuracy burdenLikharev, Konstantin K. et al. | 2007
- 2537
-
Photolithographic synthesis of high-density DNA probe arrays: Challenges and opportunitiesPawloski, Adam R. / McGall, Glenn / Kuimelis, Robert G. / Barone, Dale / Cuppoletti, Andrea / Ciccolella, Paul / Spence, Eric / Afroz, Farhana / Bury, Paul / Chen, Christy et al. | 2007
- 2547
-
Helium ion microscope invasiveness and imaging study for semiconductor applicationsLivengood, Richard H. / Greenzweig, Yuval / Liang, Ted / Grumski, Michael et al. | 2007
- 2553
-
Dry etch release processes for micromachining applicationsZhu, Tongtong / Argyrakis, Petros / Mastropaolo, Enrico / Lee, Kin Kiong / Cheung, Rebecca et al. | 2007
- 2558
-
Mechanical properties of suspended graphene sheetsFrank, I. W. / Tanenbaum, D. M. / van der Zande, A. M. / McEuen, P. L. et al. | 2007
- 2562
-
Surface gate and contact alignment for buried, atomically precise scanning tunneling microscopy–patterned devicesFuechsle, Martin / Rueß, Frank J. / Reusch, Thilo C. G. / Mitic, Mladen / Simmons, Michelle Y. et al. | 2007
- 2568
-
Novel coexisted sol-gel derived poly-Si-oxide-nitride-oxide-silicon type memoryYou, Hsin-Chiang / Wu, Chi-Chang / Ko, Fu-Hsiang / Lei, Tan-Fu / Yang, Wen-Luh et al. | 2007
- 2572
-
Adaptive wiring for scale epitaxial silicon Ohmic contacts to silicon nanowiresRooks, M. J. / Cohen, G. M. / Chu, J. O. / Solomon, P. M. / Ott, J. A. / Miller, R. J. / Viswanathan, R. / Haensch, W. et al. | 2007
- 2577
-
Hybrid carbon nanotube-silicon complementary metal oxide semiconductor circuitsMeric, I. / Caruso, V. / Caldwell, R. / Hone, J. / Shepard, K. L. / Wind, S. J. et al. | 2007
- 2581
-
Hydrogen plasma-enhanced atomic layer deposition of copper thin filmsWu, Liqi / Eisenbraun, Eric et al. | 2007
- 2586
-
Emission characteristics of and liquid metal ion sourcesSchwind, G. A. / Swanson, L. W. et al. | 2007
- 2593
-
Fabrication of ultrahigh aspect ratio freestanding gratings on silicon-on-insulator wafersAhn, Minseung / Heilmann, Ralf K. / Schattenburg, Mark L. et al. | 2007
- 2598
-
Soft x-ray imaging of spin dynamics at high spatial and temporal resolutionMesler, Brooke L. / Fischer, Peter / Chao, Weilun / Anderson, Erik H. / Kim, Dong-Hyun et al. | 2007
- 2603
-
Ultrathin magnetic multilayer films for low-field microwave notch filtersKuanr, Bijoy K. / Kuanr, Alka V. / Fal, T. / Camley, R. E. / Celinski, Z. et al. | 2007
- 2607
-
Study of fluorine bombardment on the electrical properties of heterostructuresBasu, Anirban / Kumar, Vipan / Adesida, Ilesanmi et al. | 2007
- 2611
-
Prospects for nanowire sculptured-thin-film devicesPursel, Sean M. / Horn, Mark W. et al. | 2007
- 2616
-
First-principles calculation of electronic structure and magnetic properties of copper adsorbed polar-ZnO surfaceKim, Yoon-Suk / Chung, Yong-Chae et al. | 2007
- 2619
-
Nickel nanowires for planer microwave circuit applications and characterizationMarson, Ryan L. / Kuanr, Bijoy K. / Mishra, Sanjay R. / Camley, R. E. / Celinski, Z. et al. | 2007
- 2624
-
In situ visualization of local electric field in an ultrasharp tungsten emitter under a low voltage scanning transmission electron microscopeFujita, Jun-Ichi / Ikeda, Yuta / Okada, Satoshi / Higashi, Kodai / Nakasawa, Shotaro / Ishida, Masahiko / Matsui, Shinji et al. | 2007
- 2628
-
Fabrication and tuning of nanoscale metallic ring and split-ring arraysSheridan, A. K. / Clark, A. W. / Glidle, A. / Cooper, J. M. / Cumming, D. R. S. et al. | 2007
- 2632
-
Fabrication of two dimensional GaN nanophotonic crystals (31)Rong, Bifeng / Salemink, Huub W. M. / Roeling, Erik M. / van der Heijden, Rob / Karouta, Fouad / van der Drift, Emile et al. | 2007
- 2637
-
Semitransparent Cu electrode on a flexible substrate and its application in organic light emitting diodesKang, Myung-Gyu / Guo, L. Jay et al. | 2007
- 2642
-
Photoluminescence enhancement in metallic nanocomposite printable polymerReboud, V. / Kehagias, N. / Striccoli, M. / Placido, T. / Panniello, A. / Curri, M. L. / Zelsmann, M. / Reuther, F. / Gruetzner, G. / Sotomayor Torres, C. M. et al. | 2007
- 2645
-
Fabrication of high aspect ratio Si nanogratings with smooth sidewalls for a deep UV-blocking particle filterMukherjee, Pran / Kang, Myung-Gyu / Zurbuchen, Thomas H. / Guo, L. Jay / Herrero, Fred A. et al. | 2007
- 2649
-
V-groove plasmonic waveguides fabricated by nanoimprint lithographyFernandez-Cuesta, Irene / Nielsen, Rasmus Bundgaard / Boltasseva, Alexandra / Borrisé, Xavier / Pérez-Murano, Francesc / Kristensen, Anders et al. | 2007
- 2654
-
half-pitch plastic wire-grid polarizer by nanoimprint lithographyChen, Lei / Wang, Jian Jim / Walters, Frank / Deng, Xuegong / Buonanno, Mike / Tai, Stephen / Liu, Xiaoming et al. | 2007
- 2658
-
Optimization of hydrogen silsesquioxane for photonic applicationsHolzwarth, C. W. / Barwicz, T. / Smith, Henry I. et al. | 2007
- 2662
-
Membrane stacking: A new approach for three-dimensional nanostructure fabricationPatel, Amil A. / Smith, Henry I. et al. | 2007