Method of improving the quality of nanopatterning in atomic image projection electron-beam lithography (Englisch)
- Neue Suche nach: Kim, Hyun-Mi
- Neue Suche nach: Lee, Min Hyun
- Neue Suche nach: Lee, Hyo-Sung
- Neue Suche nach: Wi, Jung-Sub
- Neue Suche nach: Lim, Kipil
- Neue Suche nach: Kim, Ki-Bum
- Neue Suche nach: Kim, Hyun-Mi
- Neue Suche nach: Lee, Min Hyun
- Neue Suche nach: Lee, Hyo-Sung
- Neue Suche nach: Wi, Jung-Sub
- Neue Suche nach: Lim, Kipil
- Neue Suche nach: Kim, Ki-Bum
In:
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
;
27
, 6
;
2553-2557
;
2009
- Aufsatz (Zeitschrift) / Elektronische Ressource
-
Titel:Method of improving the quality of nanopatterning in atomic image projection electron-beam lithography
-
Weitere Titelangaben:Method of improving the quality of nanopatterning
-
Beteiligte:Kim, Hyun-Mi ( Autor:in ) / Lee, Min Hyun ( Autor:in ) / Lee, Hyo-Sung ( Autor:in ) / Wi, Jung-Sub ( Autor:in ) / Lim, Kipil ( Autor:in ) / Kim, Ki-Bum ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: American Vacuum Society
-
Erscheinungsdatum:01.11.2009
-
Format / Umfang:5 pages
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
Inhaltsverzeichnis – Band 27, Ausgabe 6
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 2331
-
Investigation of the physical and electronic properties of indium doped zinc oxide nanofibers synthesized by electrospinningLotus, A. F. / Kang, Y. C. / Ramsier, R. D. / Chase, G. G. et al. | 2009
- 2337
-
Removing plasma-induced sidewall damage in GaN-based light-emitting diodes by annealing and wet chemical treatmentsYang, Y. / Cao, X. A. et al. | 2009
- 2342
-
Damage evolution in GaN under MeV heavy ion implantationGao, Yuan / Xue, Jianming / Zhang, Dongzheng / Wang, Zilong / Lan, Chune / Yan, Sha / Wang, Yugang / Xu, Fujun / Shen, Bo / Zhang, Yanwen et al. | 2009
- 2347
-
Focused-ion beam fabrication of nanometer orifices for leak detectionFirpo, G. / Repetto, L. / Buatier de Mongeot, F. / Valbusa, U. et al. | 2009
- 2351
-
HBr based inductively coupled plasma etching of high aspect ratio nanoscale trenches in InP: Considerations for photonic applicationsSultana, N. / Zhou, Wei / LaFave, Tim P. / MacFarlane, Duncan L. et al. | 2009
- 2357
-
Measuring interface electrostatic potential and surface charge in a scanning electron microscopeSychugov, I. / Nakayama, Y. / Mitsuishi, K. et al. | 2009
- 2361
-
Selective dry etching of attenuated phase-shift mask materials for extreme ultraviolet lithography using inductively coupled plasmasJung, H. Y. / Park, Y. R. / Lee, H. J. / Lee, N.-E. / Jeong, C. Y. / Ahn, Jinho et al. | 2009
- 2366
-
Electrical characteristics of thin boron carbonitride films on Ge(100) and Si(100)Fitzpatrick, P. R. / Ekerdt, J. G. et al. | 2009
- 2375
-
Study of low temperature growth of III-V alloys for transparent layersWu, L. / Iyer, S. / Li, J. / Gibson, K. / Reppert, J. / Rao, A. M. / Matney, K. / Lewis, J. et al. | 2009
- 2384
-
Influence of sputtering a ZnMgO window layer on the interface and bulk properties of solar cellsLi, Jian V. / Li, Xiaonan / Yan, Yanfa / Jiang, Chun-Sheng / Metzger, Wyatt K. / Repins, Ingrid L. / Contreras, Miguel A. / Levi, Dean H. et al. | 2009
- 2390
-
Physical and electrical characterizations of metal-oxide-semiconductor capacitors fabricated on GaAs substrates with different surface chemical treatments and gate dielectricGarcia-Gutierrez, Domingo I. / Shahrjerdi, Davood / Kaushik, Vidya / Banerjee, Sanjay K. et al. | 2009
- 2396
-
Influence of proton irradiation on the structure and stability of poly(dimethylsiloxane) and poly(dimethylsiloxane)-nanodiamond compositeBorjanović, V. / Bistričić, L. / Vlasov, I. / Furić, K. / Zamboni, I. / Jakšić, M. / Shenderova, O. et al. | 2009
- 2404
-
Transport mechanism in aluminum nitride-metal multilayer junctionsKabulski, A. / Korakakis, D. et al. | 2009
- 2408
-
Simultaneous measurement of thermal conductivity and interface thermal conductance of diamond thin filmLee, Byeonghee / Lee, Joon Sik / Kim, Sun Ung / Kim, Kyeongtae / Kwon, Ohmyoung / Lee, Seungkoo / Kim, Jong Hoon / Lim, Dae Soon et al. | 2009
- 2413
-
Hyperthermal atomic hydrogen and oxygen etching of vertically oriented graphene sheetsBagge-Hansen, M. / Outlaw, R. A. / Zhu, M. Y. / Chen, H. J. / Manos, D. M. et al. | 2009
- 2420
-
Improving field-emission uniformity of large-area nanowire films by electrical treatmentLi, Z. L. / Liu, Fei / Xu, N. S. / Chen, Jun / Deng, S. Z. et al. | 2009
- 2426
-
Concept and operation of Schottky emitter without suppressor electrodeDokania, A. K. / Kruit, P. et al. | 2009
- 2432
-
Thermodynamically stable nanotips of Au–Mo alloyNomura, K. / Nagao, T. / Cho, B. L. / Katsuda, H. / Matsumura, T. / Oshima, C. et al. | 2009
- 2435
-
Emission site density depending on surface area and morphology of nanotube film emittersLiu, Huarong / Kato, Shigeki / Saito, Yahachi et al. | 2009
- 2439
-
Protein patterning on the micro- and nanoscale by thermal nanoimprint lithography on a new functionalized copolymerMerino, S. / Retolaza, A. / Trabadelo, V. / Cruz, A. / Heredia, P. / Alduncín, J. A. / Mecerreyes, D. / Fernández-Cuesta, I. / Borrisé, X. / Pérez-Murano, F. et al. | 2009
- 2444
-
Carbon nanotube pillar structures for human neural cell cultureLee, Jin Woo / Lee, Kyong Soo / Ju, Byeong Kwon / Cho, Hyun Jin / Lee, Nae Sung / Kim, Min Young / Kim, Eun Hye / Lee, Kyu Back et al. | 2009
- 2449
-
Fabrication of large-area gallium arsenide nanowires using silicon dioxide nanoparticle maskWang, Ding-Shin / Chao, Jiun-Jie / Hung, Shih-Che / Lin, Ching-Fuh et al. | 2009
- 2453
-
Growth of InSb epilayers and quantum wells on Ge(001) substrates by molecular beam epitaxyDebnath, M. C. / Mishima, T. D. / Santos, M. B. / Hossain, K. / Holland, O. W. et al. | 2009
- 2457
-
Inverted method for fabricating a nano-aperture device with subwavelength structuresSuutala, A. / Olkkonen, J. / Cox, D. C. / Lappalainen, J. / Jantunen, H. et al. | 2009
- 2462
-
Enhanced charge storage characteristics of silicon nanocrystals fabricated by electron-beam coevaporation of Si andChen, Chen / Jia, Rui / Li, Weilong / Li, Haofeng / Ye, Tianchun / Liu, Xinyu / Liu, Ming / Kasai, Seiya / Tamotsu, Hashizume / Wu, Nanjian et al. | 2009
- 2468
-
Retention-failure mechanism of resistive memory with good data retention capabilityWan, H. J. / Zhou, P. / Ye, L. / Lin, Y. Y. / Wu, J. G. / Wu, H. / Chi, M. H. et al. | 2009
- 2472
-
High density plasma etching of titanium nitride metal gate electrodes for fully depleted silicon-on-insulator subthreshold transistor integrationVitale, Steven A. / Kedzierski, Jakub / Keast, Craig L. et al. | 2009
- 2480
-
Photoresist removal using an medium pressure plasma jet with high speed wafer scanning: Unimplanted resist studiesBhargava, M. / Craver, B. / Torres, Jose L. / Guo, H. / Vemula, S. C. / Srivastava, A. K. / Berry, I. / Wolfe, J. C. et al. | 2009
- 2487
-
Fabrication of oxidation-free contacts to nanopatterned Permalloy structuresKim, Hye-Young / Lee, Kang Ho / Kim, Gyu-Tae / Kang, Woun / Lee, Kyung-Jin et al. | 2009
- 2490
-
Erratum for: “IVNC Preface” [J. Vac. Sci. Technol. B Volume 27, Issue 2, pp. 686–686 (2009)]a)Dziuban, Jan A. et al. | 2009
- 2502
-
PrefaceDobisz, Elizabeth et al. | 2009
- 2503
-
Method for improving the aspect ratio of ultrahigh-resolution structures in negative electron-beam resistSidorkin, V. A. / Alkemade, P. F. A. / Salemink, H. W. M. / Schmits, R. / van der Drift, E. et al. | 2009
- 2508
-
Patterning decomposable polynorbornene with electron beam lithography to create nanochannelsDevlin, Nicole R. / Brown, Devin K. / Kohl, Paul A. et al. | 2009
- 2512
-
Study on line edge roughness for electron beam acceleration voltages fromRio, D. / Constancias, C. / Saied, M. / Icard, B. / Pain, L. et al. | 2009
- 2518
-
Evaluation of each electron beam and exposure results with four column cells in multicolumn e-beam exposure systemYamada, Akio / Yasuda, Hiroshi / Yamabe, Masaki et al. | 2009
- 2524
-
Reversible shape changes of the end facet on Schottky electron emittersBronsgeest, M. S. / Kruit, P. et al. | 2009
- 2532
-
Design for electron beam: A novel approach to electron beam direct writing throughput enhancement for volume productionMaruyama, Takashi / Machida, Yasuhide / Sugatani, Shinji / Tsuchikawa, Haruo / Hoshino, Hiromi / Ito, Masaru / Tago, Haruyuki / Chau, Larry L. / Lee, Shone / Komami, Hideaki et al. | 2009
- 2537
-
Self-powered near field electron lithographyLu, Yuerui / Yoshimizu, Norimasa / Lal, Amit et al. | 2009
- 2542
-
Microcolumn design for a large scan field and pixel numberWeigand, H. / Gautsch, S. / Strohmaier, W. / Fleischer, M. / Staufer, U. / de Rooij, N. F. / Kern, D. P. et al. | 2009
- 2547
-
Off-axis emission properties for the extended Schottky electron sourceLiu, K. / Schwind, G. A. / Swanson, L. W. et al. | 2009
- 2553
-
Method of improving the quality of nanopatterning in atomic image projection electron-beam lithographyKim, Hyun-Mi / Lee, Min Hyun / Lee, Hyo-Sung / Wi, Jung-Sub / Lim, Kipil / Kim, Ki-Bum et al. | 2009
- 2558
-
Amino-propyl-triethoxy-silane on aluminum fiducial grids for spatial-phase-locked electron-beam lithographySamantaray, C. B. / Hastings, J. T. et al. | 2009
- 2563
-
Image processing using shape recognition for alignment to damaged registration marks in electron beam lithographyKratschmer, E. / Klaus, D. P. / Viswanathan, R. / Turnidge, M. L. / Reed, P. L. / McPhail, B. et al. | 2009
- 2569
-
Nanoscale geometry assisted proximity effect correction for electron beam direct write nanolithographyOcola, L. E. et al. | 2009
- 2572
-
Application of neural network to controlling three-dimensional electron-beam exposure distribution in resistGuo, C. / Lee, S.-Y. / Lee, S. H. / Kim, B.-G. / Cho, H.-K. et al. | 2009
- 2580
-
Spatial dose control for fabrication of saw-tooth structuresLee, S.-Y. / Jeon, S. C. / Kim, J. S. / Kim, K. N. / Hyun, M. S. / Yoo, J. J. / Kim, J. W. et al. | 2009
- 2585
-
Fabrication of high density, high-aspect-ratio polyimide nanofiltersMakarova, Olga V. / Tang, Cha-Mei / Amstutz, Platte / Divan, Ralu / Imre, Alexandra / Mancini, Derrick C. / Hoffbauer, Mark / Williamson, Todd et al. | 2009
- 2588
-
Hydrogen silsesquioxane-based hybrid electron beam and optical lithography for high density circuit prototypingGuillorn, M. / Chang, J. / Fuller, N. / Patel, J. / Darnon, M. / Pyzyna, A. / Joseph, E. / Engelmann, S. / Ott, J. / Newbury, J. et al. | 2009
- 2593
-
Cold-developed electron-beam-patterned ZEP 7000 for fabrication of 13 nm nickel zone platesReinspach, Julia / Lindblom, Magnus / von Hofsten, Olov / Bertilson, Michael / Hertz, Hans M. / Holmberg, Anders et al. | 2009
- 2597
-
Resist residues and transistor gate fabricationMacintyre, D. S. / Ignatova, O. / Thoms, S. / Thayne, I. G. et al. | 2009
- 2602
-
Robust, efficient grating couplers for planar optical waveguides using no-photoacid generator SU-8 electron beam lithographyBross, A. L. / Lafyatis, G. / Ayachitula, R. / Morss, A. / Hardman, R. / Golden, J. et al. | 2009
- 2606
-
Hydrogen silsesquioxane double patterning process for resolution x-ray zone platesChao, Weilun / Kim, Jihoon / Rekawa, Senajith / Fischer, Peter / Anderson, Erik et al. | 2009
- 2612
-
Simple technique for beam focusing in electron beam lithography on optically transparent substratesSchuette, Michael L. / Lu, Wu et al. | 2009
- 2616
-
Limiting factors in sub- scanning-electron-beam lithographyCord, Bryan / Yang, Joel / Duan, Huigao / Joy, David C. / Klingfus, Joseph / Berggren, Karl K. et al. | 2009
- 2622
-
Understanding of hydrogen silsesquioxane electron resist for sub--half-pitch lithographyYang, Joel K. W. / Cord, Bryan / Duan, Huigao / Berggren, Karl K. / Klingfus, Joseph / Nam, Sung-Wook / Kim, Ki-Bum / Rooks, Michael J. et al. | 2009
- 2628
-
Understanding the base development mechanism of hydrogen silsesquioxaneKim, Jihoon / Chao, Weilun / Griedel, Brian / Liang, Xiaogan / Lewis, Mark / Hilken, Dawn / Olynick, Deirdre et al. | 2009
- 2635
-
Contrast enhancement behavior of hydrogen silsesquioxane in a salty developerNam, Sung-Wook / Rooks, Michael J. / Yang, Joel K. W. / Berggren, Karl K. / Kim, Hyun-Mi / Lee, Min-Hyun / Kim, Ki-Bum / Sim, Jae Hwan / Yoon, Do Yeung et al. | 2009
- 2640
-
Ultradense gold nanostructures fabricated using hydrogen silsesquioxane resist and applications for surface-enhanced Raman spectroscopyChoi, Sookyung / Yan, Minjun / Adesida, Ilesanmi / Hsu, Keng H. / Fang, Nicholas X. et al. | 2009
- 2644
-
Apparatus to measure electron reflectionMaldonado, Juan R. / Sun, Yun / Tsai, Roger / Pease, Fabian / Pianetta, Piero et al. | 2009
- 2648
-
Development and characterization of an iodine field emission ion source for focused ion beam applicationsFedkiw, Timothy P. / Lozano, Paulo C. et al. | 2009
- 2654
-
Optimization of focused ion beam performanceHagen, C. W. / Kruit, P. et al. | 2009
- 2660
-
Beam induced deposition of platinum using a helium ion microscopeSanford, Colin A. / Stern, Lewis / Barriss, Louise / Farkas, Lou / DiManna, Mark / Mello, Russ / Maas, Diederik J. / Alkemade, Paul F. A. et al. | 2009
- 2668
-
Ion multibeam nanopatterning for photonic applications: Experiments and simulations, including study of precursor gas induced etching and depositionEbm, Christoph / Platzgummer, Elmar / Loeschner, Hans / Eder-Kapl, Stefan / Joechl, Peter / Kuemmel, Marco / Reitinger, Ruediger / Hobler, Gerhard / Koeck, Anton / Hainberger, Rainer et al. | 2009
- 2674
-
Near neighbor averaging: A technique for improving image uniformity in aperture array lithographyNasrullah, A. / Smith, D. / Sherlock, T. / Ruchhoeft, P. / Litvinov, D. et al. | 2009
- 2679
-
Nanoimprint lithography stamp modification utilizing focused ion beamsWanzenboeck, Heinz D. / Waid, Simon / Bertagnolli, Emmerich / Muehlberger, Michael / Bergmair, Iris / Schoeftner, Rainer et al. | 2009
- 2686
-
Ion beam sharpening of diamond tools having small apex angle without facet and ripple formationsNagase, Takashi / Kato, Hiroyuki / Pahlovy, S. A. / Miyamoto, Iwao / Nakamura, Yuya et al. | 2009
- 2691
-
Fabrication of complementary metal-oxide-semiconductor integrated nanomechanical devices by ion beam patterningRius, G. / Llobet, J. / Borrisé, X. / Mestres, N. / Retolaza, A. / Merino, S. / Perez-Murano, F. et al. | 2009
- 2698
-
Evaluations of the hopping growth characteristics on three-dimensional nanostructure fabrication using focused ion beamKometani, Reo / Warisawa, Shin’ichi / Ishihara, Sunao et al. | 2009
- 2702
-
Scanning-helium-ion-beam lithography with hydrogen silsesquioxane resistWinston, D. / Cord, B. M. / Ming, B. / Bell, D. C. / DiNatale, W. F. / Stern, L. A. / Vladar, A. E. / Postek, M. T. / Mondol, M. K. / Yang, J. K. W. et al. | 2009
- 2707
-
Charged particle nanopatterningPlatzgummer, Elmar / Loeschner, Hans et al. | 2009
- 2711
-
Evaluation of chamber contamination in a scanning electron microscopeRoediger, P. / Wanzenboeck, H. D. / Hochleitner, G. / Bertagnolli, E. et al. | 2009
- 2718
-
Roles of secondary electrons and sputtered atoms in ion-beam-induced depositionChen, Ping / Salemink, Huub W. M. / Alkemade, Paul F. A. et al. | 2009
- 2722
-
Resolution and total blur: Correlation and focus dependencies in e-beam lithographyKeil, K. / Hauptmann, M. / Kretz, J. / Constancias, C. / Pain, L. / Bartha, J.-W. et al. | 2009
- 2727
-
Gas assisted focused electron beam induced etching of aluminaBret, T. / Afra, B. / Becker, R. / Hofmann, Th. / Edinger, K. / Liang, T. / Hoffmann, P. et al. | 2009
- 2732
-
Fabrication of high-aspect ratio silicon nanopillars and nanocones using deep reactive ion etchingFischer, C. / Menezes, J. W. / Moshkalev, S. A. / Veríssimo, C. / Vaz, A. R. / Swart, J. W. et al. | 2009
- 2737
-
Direct write of optical waveguides on chalcogenide thin films using electron beamsHoffman, G. B. / Zhou, W. / Sooryakumar, R. / Reano, R. M. et al. | 2009
- 2742
-
High density submicron features using a laser pattern generator and double patterningGaevski, Mikhail E. / Watson, G. Patrick / Novembre, Anthony E. et al. | 2009
- 2745
-
Laser print patterning of planar spiral inductors and interdigitated capacitorsKlejwa, N. / Misra, R. / Provine, J. / Howe, R. T. / Klejwa, S. J. et al. | 2009
- 2750
-
Generating integrated-circuit patterns via cutting and stitching of gratingsZhao, Lin / Xuan, Yi / Qi, Minghao et al. | 2009
- 2755
-
Precision material modification and patterning with He ionsBell, David C. / Lemme, Max C. / Stern, Lewis A. / Marcus, Charles M. et al. | 2009
- 2759
-
Electron postgrowth irradiation of platinum-containing nanostructures grown by electron-beam-induced deposition fromBotman, A. / Hagen, C. W. / Li, J. / Thiel, B. L. / Dunn, K. A. / Mulders, J. J. L. / Randolph, S. / Toth, M. et al. | 2009
- 2764
-
Atomic precision lithography on SiRandall, J. N. / Lyding, J. W. / Schmucker, S. / Von Ehr, J. R. / Ballard, J. / Saini, R. / Xu, H. / Ding, Y. et al. | 2009
- 2769
-
High rate gas dosing for tip based nanofabrication processesKanouff, M. P. / Randall, J. N. / Nadesalingham, M. / Kirk, W. P. / Wallace, R. M. et al. | 2009
- 2776
-
Evaluation of nanoimprint lithography as a fabrication process of phase-shifted diffraction gratings of distributed feedback laser diodesYanagisawa, Masaki / Tsuji, Yukihiro / Yoshinaga, Hiroyuki / Kono, Naoya / Hiratsuka, Kenji et al. | 2009
- 2781
-
Complete reversal imprinting for fabricating microlens arrays with faithful shape replicationHuang, Po-Hsun / Yang, Sen-Yeu et al. | 2009
- 2786
-
Direct indium tin oxide patterning using thermal nanoimprint lithography for highly efficient optoelectronic devicesYang, Ki-Yeon / Yoon, Kyung-Min / Lim, SangWoo / Lee, Heon et al. | 2009
- 2790
-
Self-aligned fabrication of 10 nm wide asymmetric trenches for Si/SiGe heterojunction tunneling field effect transistors using nanoimprint lithography, shadow evaporation, and etchingWang, Chao / Chou, Stephen Y. et al. | 2009
- 2795
-
SU-8-based immunoisolative microcontainer with nanoslots defined by nanoimprint lithographyKwon, Joonbum / Trivedi, Krutarth / Krishnamurthy, Nemani V. / Hu, Walter / Lee, Jeong-Bong / Gimi, Barjor et al. | 2009
- 2801
-
Fabrication of poly(3-hexylthiophene) self-switching diodes using thermal nanoimprint lithography and argon millingKettle, J. / Whitelegg, S. / Song, A. M. / Madec, M. B. / Yeates, S. / Turner, M. L. / Kotacka, Libor / Kolarik, Vladimir et al. | 2009
- 2805
-
UV irradiation effect on sol-gel indium tin oxide nanopatterns replicated by room-temperature nanoimprintKang, Yuji / Okada, Makoto / Nakamatsu, Ken-Ichiro / Kanda, Kazuhiro / Haruyama, Yuichi / Matsui, Shinji et al. | 2009
- 2810
-
Formation of nanopattern using reverse imprinting and sol-gel methodYoon, Kyung-min / Yang, Ki-Yeon / Lee, Heon / Kim, Hyeong-Seok et al. | 2009
- 2814
-
Thermal roller imprint on surface of Teflon perfluoroalkoxy inlet tubeMekaru, Harutaka / Fukushima, Eri / Hiyama, Yoshihito / Takahashi, Masaharu et al. | 2009
- 2820
-
Thermal imprinting on quartz fiber using glasslike carbon moldMekaru, Harutaka / Okuyama, Chieko / Ueno, Akihisa / Takahashi, Masaharu et al. | 2009
- 2826
-
Thermal stability of confined flip-chip laminated omega -functionalized monolayersColl, M. / Richter, C.A. / Hacker, C.A. et al. | 2009
- 2826
-
Thermal stability of confined flip-chip laminated -functionalized monolayersColl, M. / Richter, C. A. / Hacker, C. A. et al. | 2009
- 2832
-
Fabrication of nanodot array molds by using an inorganic electron-beam resist and a postexposure bakeManabe, Tetsuro / Taniguchi, Jun / Ishikawa, Kiyoshi et al. | 2009
- 2837
-
Sub- nanoimprint molds and pattern transferMorecroft, Debbie / Yang, Joel K. W. / Schuster, S. / Berggren, Karl K. / Xia, Qiangfei / Wu, Wei / Williams, R. Stanley et al. | 2009
- 2841
-
Fabrication of a seamless roll mold by direct writing with an electron beam on a rotating cylindrical substrateTaniguchi, Jun / Aratani, Masao et al. | 2009
- 2846
-
Transparent hybrid polymer stamp copies with sub-50-nm resolution for thermal and UV-nanoimprint lithographySchift, Helmut / Spreu, Christian / Saidani, Menouer / Bednarzik, Martin / Gobrecht, Jens / Klukowska, Anna / Reuther, Freimut / Gruetzner, Gabi / Solak, Harun H. et al. | 2009
- 2850
-
Easy mask-mold fabrication for combined nanoimprint and photolithographySchift, Helmut / Spreu, Christian / Schleunitz, Arne / Gobrecht, Jens / Klukowska, Anna / Reuther, Freimut / Gruetzner, Gabi et al. | 2009
- 2854
-
Nanogratings containing sub- wide trenches by dimension reduction from sloped polymer profileTrivedi, Krutarth / Hu, Walter et al. | 2009
- 2858
-
Hierarchical structure formation induced by dewetting in an imprinting processPai, I. T. / Chiou, D. W. / Hon, M. H. / Leu, I. C. et al. | 2009
- 2862
-
Release force reduction in UV nanoimprint by mold orientation control and by gas environmentHiroshima, Hiroshi et al. | 2009
- 2866
-
Numerical study on bubble trapping in UV nanoimprint lithographyMorihara, Daisuke / Nagaoka, Yoshinori / Hiroshima, Hiroshi / Hirai, Yoshihiko et al. | 2009
- 2869
-
Ultrathin fluorinated diamondlike carbon coating for nanoimprint lithography imprintersFillman, Ryan W. / Krchnavek, Robert R. et al. | 2009
- 2873
-
Double-anchoring fluorinated molecules for antiadhesion mold treatment in UV nanoimprint lithographyZelsmann, M. / Truffier-Boutry, D. / Francone, A. / Alleaume, C. / Kurt, I. / Beaurain, A. / Pelissier, B. / Pépin-Donat, B. / Lombard, C. / Boussey, J. et al. | 2009
- 2877
-
Nanoimprint planarization of high aspect ratio nanostructures using inorganic and organic resist materialsChang, Allan S. P. / Peroz, Christophe / Liang, Xiaogan / Dhuey, Scott / Harteneck, Bruce / Cabrini, Stefano et al. | 2009
- 2882
-
Recovery prevention via pressure control in thermal nanoimprint lithographyScheer, Hella-Christin / Bogdanski, Nicolas / Möllenbeck, Saskia / Mayer, Andre et al. | 2009
- 2888
-
Comparison of fast three-dimensional simulation and actinic inspection for extreme ultraviolet masks with buried defects and absorber featuresClifford, Chris H. / Wiraatmadja, Sandy / Chan, Tina T. / Neureuther, Andrew R. / Goldberg, Kenneth A. / Mochi, Iacopo / Liang, Ted et al. | 2009
- 2894
-
Low energy ion beam machining of Si thin layer deposited on a Zerodur® substrate for extreme ultraviolet lithography projection opticsIwata, T. / Fujiwara, K. / Pahlovy, S. A. / Miyamoto, I. et al. | 2009
- 2900
-
Two stage ion beam figuring and smoothening method for shape error correction of ULE® substrates of extreme ultraviolet lithography projection optics: Evaluation of high-spatial frequency roughnessKamijo, Kazuma / Uozumi, Ryou / Moriziri, Kenta / Pahlovy, S. A. / Miyamoto, Iwao et al. | 2009
- 2905
-
Experimental determination of image placement accuracy in extreme ultraviolet lithographyRaghunathan, Sudhar / Wood, Obert / Vukkadala, Pradeep / Engelstad, Roxann / Hartley, John G. et al. | 2009
- 2911
-
Pushing extreme ultraviolet lithography development beyond 22 nm half pitchNaulleau, Patrick P. / Anderson, Christopher N. / Baclea-an, Lorie-Mae / Denham, Paul / George, Simi / Goldberg, Kenneth A. / Goldstein, Michael / Hoef, Brian / Jones, Gideon / Koh, Chawon et al. | 2009
- 2916
-
EUV pattern defect detection sensitivity based on aerial image linewidth measurementsGoldberg, K. A. / Mochi, I. / Naulleau, P. / Liang, T. / Yan, P.-Y. / Huh, S. et al. | 2009
- 2922
-
Improvement of imaging properties by optimizing the capping structure in extreme ultraviolet lithographyJeong, Chang Young / Lee, Sangsul / Shin, Hyun-Duck / Kim, Tae Geun / Ahn, Jinho et al. | 2009
- 2927
-
Iterative procedure for in situ extreme ultraviolet optical testing with an incoherent sourceMiyakawa, Ryan / Naulleau, Patrick / Zakhor, Avideh et al. | 2009
- 2931
-
Talbot lithography: Self-imaging of complex structuresIsoyan, A. / Jiang, F. / Cheng, Y. C. / Cerrina, F. / Wachulak, P. / Urbanski, L. / Rocca, J. / Menoni, C. / Marconi, M. et al. | 2009
- 2938
-
Characterization of pattern-placement error for sub- memory devicesShin, Jangho / Cha, Dongho / Yeo, Jeongho / Kim, Hochul / Choi, Seong-Woon / Park, Chan-Hoon et al. | 2009
- 2941
-
Influence of polarization on absorbance modulated subwavelength grating structuresFoulkes, J. E. / Blaikie, R. J. et al. | 2009
- 2947
-
Coherent diffraction lithography: Periodic patterns via mask-based interference lithographyFucetola, Corey P. / Patel, Amil A. / Moon, Euclid E. / O’Reilly, Thomas B. / Smith, Henry I. et al. | 2009
- 2951
-
Stitching periodic submicron fringes by utilizing step-and-align interference lithographyChen, Yung-Pin / Chen, Cheng-Hung / Chang, Jer-Haur / Chiu, Hsin-Chieh / Chen, Guan-Yu / Chiang, Chieh-Hsiu / Chen, Lien-Sheng / Tseng, Ching-Tung / Lee, Chih-Hsien / Yen, Jia-Yush et al. | 2009
- 2958
-
Low-cost interference lithographyFucetola, Corey P. / Korre, Hasan / Berggren, Karl K. et al. | 2009
- 2962
-
Design specific variation in via/contact pattern transfer: Full chip analysisChoy, Jun-Ho / Sukharev, Valeriy / Markosian, Ara / Kteyan, Armen / Granik, Yuri / Bliznetsov, Vladimir et al. | 2009
- 2972
-
Model based optical proximity correction runtime saving with multisegment solverLi, Jianliang / Li, Xiaohai / Deeth, Steven / Lugg, Robert / Melvin, Lawrence S. et al. | 2009
- 2979
-
Low-roughness active microdisk resonators fabricated by focused ion beamBarea, L. A. M. / Vallini, F. / Vaz, A. R. / Mialichi, J. R. / Frateschi, N. C. et al. | 2009
- 2982
-
Electron beam induced deposition of cobalt for use as single- and multiwalled carbon nanotube growth catalystErvin, M. H. / Nichols, B. M. et al. | 2009
- 2986
-
Alternative developer solutions for extreme ultraviolet resistItani, Toshiro / Santillan, Julius Joseph et al. | 2009
- 2990
-
Thermal development of a calixarene resistAuzelyte, V. / Langner, A. / Solak, H. H. et al. | 2009
- 2993
-
Control of the critical dimensions and line edge roughness with pre-organized block copolymer pixelated photoresistsKang, Huiman / Kim, Yun Jun / Gopalan, Padma / Nealey, Paul F. et al. | 2009
- 2998
-
Negative tone molecular resists using cationic polymerization: Comparison of epoxide and oxetane functional groupsLawson, Richard A. / Noga, David E. / Younkin, Todd R. / Tolbert, Laren M. / Henderson, Clifford L. et al. | 2009
- 3004
-
Bond contribution model for the prediction of glass transition temperature in polyphenol molecular glass resistsLawson, Richard A. / Yeh, Wei-Ming / Henderson, Clifford L. et al. | 2009
- 3010
-
Investigation of surface roughness of poly(methylmethacrylate) developed at reduced temperaturesYan, M. / Choi, S. / Lee, J. / Subramanian, K. R. V. / Adesida, I. et al. | 2009
- 3014
-
Defectivity issues in topcoatless photoresistsCantone, Jason / van Dommelen, Youri / Jiang, Aiqin / Dunn, Shannon / Winter, Tom / Petrillo, Karen / Johnson, Rick / Lawson, Peggy / Conley, Will / Callahan, Ryan et al. | 2009
- 3020
-
Submillisecond post-exposure bake of chemically amplified resists by laser spike annealingSha, Jing / Jung, Byungki / Thompson, Michael O. / Ober, Christopher K. / Chandhok, Manish / Younkin, Todd R. et al. | 2009
- 3025
-
Curing process of silsesquioxane in self-organized diblock copolymer templateKihara, Naoko / Takizawa, Kazutaka / Yamamoto, Ryosuke / Tanaka, Hiroki / Hieda, Hiroyuki et al. | 2009
- 3031
-
Lithographically directed surface modificationKingsborough, Richard P. / Goodman, Russell B. / Fedynyshyn, Theodore H. et al. | 2009
- 3038
-
Modification of a polystyrene brush layer by insertion of poly(methyl methacrylate) moleculesLiu, Guoliang / Ji, Shengxiang / Stuen, Karl O. / Craig, Gordon S. W. / Nealey, Paul F. / Himpsel, F. J. et al. | 2009
- 3043
-
Focused ion beam-assisted bending of silicon nanowires for complex three dimensional structuresJun, Kimin / Joo, Jaebum / Jacobson, Joseph M. et al. | 2009
- 3048
-
Positioning Pd catalyst particles for carbon nanotube growth using charge patterns created with a scanning electron microscopeZonnevylle, A. C. / Hagen, C. W. / Kruit, P. / Valenti, M. / Schmidt-Ott, A. et al. | 2009
- 3051
-
Conductive atomic force microscopy study of self-assembled silicon nanostructuresBari, M. R. / Blaikie, R. J. / Fang, F. / Markwitz, A. et al. | 2009
- 3055
-
Embedded vertical nanosheets of in PDMS using an alternative nanopatterning processJalabert, L. / Bottier, C. / Kumemura, M. / Fujita, H. et al. | 2009
- 3059
-
Direct e-beam writing of thin carbon nanoribbonsNottbohm, C. T. / Turchanin, A. / Beyer, A. / Gölzhäuser, A. et al. | 2009
- 3063
-
Graphitization at interface between amorphous carbon and liquid gallium for fabricating large area graphene sheetsFujita, Jun-ichi / Ueki, Ryuuichi / Miyazawa, Yousuke / Ichihashi, Toshinari et al. | 2009
- 3067
-
Process and properties of the carbon nanotube assisted thin-film battery electrode by pulsed laser depositionLo, An-Ya / Sun, Chuan-Shu / Tseng, Wen-Shou / Kuo, Cheng-Tzu et al. | 2009
- 3073
-
Solid-state dye-sensitized solar cell based on semiconducting nanomaterialsLee, Tao-Hua / Sun, Dazhi / Zhang, Xi / Sue, Hung-Jue / Cheng, Xing et al. | 2009
- 3078
-
Preparation of diamond-shaped channels in SU-8 for optical control of the filling stateMöllenbeck, S. / Bogdanski, N. / Mayer, A. / Scheer, H.-C. / Zajadacz, J. / Zimmer, K. et al. | 2009
- 3082
-
In situ synthesis and direct immobilization of ssDNA on electron beam patterned hydrogen silsesquioxaneNegrete, Omar D. / Onses, M. Serdar / Nealey, Paul F. / Cerrina, Franco et al. | 2009
- 3088
-
Gold-tipped elastomeric pillars for cellular mechanotransductionGhassemi, S. / Rossier, O. / Sheetz, M. P. / Wind, S. J. / Hone, J. et al. | 2009
- 3092
-
Gallium phosphide nanowire arrays and their possible application in cellular force investigationsSuyatin, Dmitry B. / Hällström, Waldemar / Samuelson, Lars / Montelius, Lars / Prinz, Christelle N. / Kanje, Martin et al. | 2009
- 3095
-
Fabrication of nanoscale “curtain rods” for DNA curtains using nanoimprint lithographyFazio, T. A. / Visnapuu, M. / Greene, E. C. / Wind, S. J. et al. | 2009
- 3099
-
Electrical detection of proteins and DNA using bioactivated microfluidic channels: Theoretical and experimental considerationsJavanmard, M. / Esfandyarpour, H. / Pease, F. / Davis, R. W. et al. | 2009
- 3104
-
Fabrication methods for creating flexible polymer substrate sensor tagsHo, Harvey / Skinner, Jack L. et al. | 2009
- 3109
-
Electrothermal actuation of silicon carbide ring resonatorsMastropaolo, Enrico / Cheung, Rebecca / Henry, Anne / Janzén, Erik et al. | 2009
- 3115
-
Lateral-flow particle filtration and separation with multilayer microfluidic channelsKim, Hyun Chul / Park, Jaewon / Cho, Younghak / Park, Hyunsoo / Han, Arum / Cheng, Xing et al. | 2009
- 3120
-
Real-time detection of airborne dust particles using paddle-type silicon cantileversPark, Bonghyun / Hong, Jiseok / Lee, Seung-Beck et al. | 2009
- 3125
-
Nanofabrication of sharp diamond tips by e-beam lithography and inductively coupled plasma reactive ion etchingMoldovan, Nicolaie / Divan, Ralu / Zeng, Hongjun / Carlisle, John A. et al. | 2009
- 3132
-
Parallel proximal probe arrays with vertical interconnectionsSarov, Y. / Frank, A. / Ivanov, Tzv. / Zöllner, J.-P. / Ivanova, K. / Volland, B. / Rangelow, I. W. / Brogan, A. / Wilson, R. / Zawierucha, P. et al. | 2009
- 3139
-
Electrical and mechanical properties of carbon nanotube-polyimide compositesThuau, D. / Koutsos, V. / Cheung, R. et al. | 2009
- 3145
-
Void-free filling of spin-on dielectric in wide ultrahigh aspect ratio Si trenchesTrivedi, Krutarth / Floresca, Carlo / Kim, Sangjeoung / Kim, Hyunjin / Kim, Deogbae / Kim, Jaehyun / Kim, Moon J. / Hu, Walter et al. | 2009
- 3149
-
Nanostructuring of epitaxial graphene layers on SiC by means of field-induced atomic force microscopy modificationRius, G. / Camara, N. / Godignon, P. / Pérez-Murano, F. / Mestres, N. et al. | 2009
- 3153
-
Fully self-aligned process for fabricating gate length enhancement mode GaAs metal-oxide-semiconductor field-effect transistorsLi, Xu / Hill, Richard J. W. / Longo, Paolo / Holland, Martin C. / Zhou, Haiping / Thoms, Stephen / Macintyre, Douglas S. / Thayne, Iain G. et al. | 2009
- 3158
-
Effects of visible light illumination on the conductance of single-electron transistorsGeorge, Hubert C. / Orlov, Alexei O. / Joyce, Robin A. / Tang, Yong / Snider, Gregory L. et al. | 2009
- 3164
-
Fabrication of nanoscale ZnO field effect transistors using the functional precursor zinc neodecanoate directly as a negative electron beam lithography resistJones, G. A. C. / Xiong, G. / Anderson, D. et al. | 2009
- 3169
-
Perturbed frequency-selective surfaces fabricated on large thin polymer membranes for multiband infrared applicationsSanz-Fernández, Juan-José / Goussetis, George / Cheung, Rebecca et al. | 2009
- 3175
-
Subwavelength grating structures with magnetic resonances at visible frequencies fabricated by nanoimprint lithography for large area applicationsKaplan, Alex F. / Chen, Yi-Hao / Kang, Myung-Gyu / Guo, L. Jay / Xu, Ting / Luo, Xiangang et al. | 2009
- 3180
-
Advanced silicon processing for active planar photonic devicesShearn, Michael / Diest, Kenneth / Sun, Xiankai / Zadok, Avi / Atwater, Harry / Yariv, Amnon / Scherer, Axel et al. | 2009
- 3183
-
Diameter-dependent guided resonance of dielectric hole-array membraneOu, Neil / Shyu, J. H. / Lee, H. M. / Wu, J. C. et al. | 2009
- 3187
-
Fabrication of novel digital optical spectrometer on chipBabin, S. / Peroz, C. / Bugrov, A. / Goltsov, A. / Ivonin, I. / Yankov, V. / Dhuey, S. / Cabrini, S. / Kley, E.-B. / Schmidt, H. et al. | 2009
- 3192
-
Iterative phase recovery using wavelet domain constraintsBaghaei, Leili / Rad, Ali / Dai, Bing / Pianetta, Piero / Pease, R. Fabian W. / Miao, Jianwei et al. | 2009
- 3196
-
On the influence of the sputtering in determining the resolution of a scanning ion microscopeCastaldo, V. / Hagen, C. W. / Kruit, P. / van Veldhoven, E. / Maas, D. et al. | 2009
- 3203
-
Mask observation results using a coherent extreme ultraviolet scattering microscope at NewSUBARUHarada, Tetsuo / Kishimoto, Junki / Watanabe, Takeo / Kinoshita, Hiroo / Lee, Dong Gun et al. | 2009
- 3208
-
Inspection method for contact/via-holes using a low-energy electron microcolumnKim, Y. C. / Kim, D. W. / Ahn, S. / Oh, T. S. / Kim, J. B. / Roh, Y. S. / Hasko, D. G. / Kim, H. S. et al. | 2009
- 3213
-
Development of pseudorandom binary arrays for calibration of surface profile metrology toolsBarber, Samuel K. / Soldate, Paul / Anderson, Erik H. / Cambie, Rossana / McKinney, Wayne R. / Takacs, Peter Z. / Voronov, Dmytro L. / Yashchuk, Valeriy V. et al. | 2009
- 3220
-
Automatic measurement of electron beam size by beam metrology technique using test patternPeroz, C. / Babin, S. / Machin, M. / Anderson, E. / Cabrini, S. / Dhuey, S. / Harteneck, B. et al. | 2009
- 3226
-
Toroidal spectrometer for signal detection in scanning ion/electron microscopesHoang, H. Q. / Khursheed, A. et al. | 2009
- 3232
-
Real time scatterometry for profile control during resist trimming processEl Kodadi, M. / Soulan, S. / Besacier, M. / Schiavone, P. et al. | 2009
- 3238
-
Grazing incident small angle x-ray scattering: A metrology to probe nanopatterned surfacesHofmann, T. / Dobisz, E. / Ocko, B. M. et al. | 2009
- 3244
-
Subsurface damage from helium ions as a function of dose, beam energy, and dose rateLivengood, Richard / Tan, Shida / Greenzweig, Yuval / Notte, John / McVey, Shawn et al. | 2009
- 3250
-
Understanding imaging modes in the helium ion microscopeScipioni, Larry / Sanford, Colin A. / Notte, John / Thompson, Bill / McVey, Shawn et al. | 2009
- 3256
-
Design of a multiple-electron-beam imaging technique for surface inspectionLuo, T. / Khursheed, A. / Osterberg, M. / Hoang, H. et al. | 2009
- L33
-
Proton irradiation effects on Sb-based heterojunction bipolar transistorsLo, C. F. / Kim, H.-Y. / Kim, J. / Chen, Shu-Han / Wang, Sheng-Yu / Chyi, Jen-Inn / Chou, B. Y. / Chen, K. H. / Wang, Y. L. / Chang, C. Y. et al. | 2009
- L38
-
Resonant structures based on amorphous silicon suboxide doped with with silicon nanoclusters for an efficient emission atFigueira, D. S. L. / Mustafa, D. / Tessler, L. R. / Frateschi, N. C. et al. | 2009
- L42
-
excimer laser drilling of glass slices: Dependence of drilling rate and via hole shape on the diameter of the via holeChen, K. H. / Wu, Wenhsing / Chu, Byung Hwan / Lo, C. F. / Lin, Jenshan / Wang, Y. L. / Chang, C. Y. / Pearton, S. J. / Ren, F. et al. | 2009
- L47
-
Alloy liquid metal ion source for carbon focused ion beamsMazarov, P. / Wieck, A. D. / Bischoff, L. / Pilz, W. et al. | 2009
- L50
-
Selective etching and polymer deposition on InP surface in reactive ion etching with a mixture of methane and hydrogenYamamoto, Norio et al. | 2009
- L54
-
Thermal stability of GeSbTe thin films deposited by layer-by-layer metalorganic chemical vapor depositionAhn, Jun-Ku / Park, Kyoung-Woo / Seong, Nak-Jin / Yoon, Soon-Gil et al. | 2009