Rapid fabrication of high quality self-assembled nanometer gold particles by spin coating method (Englisch)
- Neue Suche nach: Liu, Fu-Ken
- Neue Suche nach: Chang, Yu-Cheng
- Neue Suche nach: Ko, Fu-Hsiang
- Neue Suche nach: Chu, Tieh-Chi
- Neue Suche nach: Dai, Bau-Tong
- Neue Suche nach: Liu, Fu-Ken
- Neue Suche nach: Chang, Yu-Cheng
- Neue Suche nach: Ko, Fu-Hsiang
- Neue Suche nach: Chu, Tieh-Chi
- Neue Suche nach: Dai, Bau-Tong
In:
Microelectronic Engineering
;
67-68
;
702-709
;
2003
-
ISSN:
- Aufsatz (Zeitschrift) / Elektronische Ressource
-
Titel:Rapid fabrication of high quality self-assembled nanometer gold particles by spin coating method
-
Beteiligte:Liu, Fu-Ken ( Autor:in ) / Chang, Yu-Cheng ( Autor:in ) / Ko, Fu-Hsiang ( Autor:in ) / Chu, Tieh-Chi ( Autor:in ) / Dai, Bau-Tong ( Autor:in )
-
Erschienen in:Microelectronic Engineering ; 67-68 ; 702-709
-
Verlag:
- Neue Suche nach: Elsevier Science B.V.
-
Erscheinungsdatum:01.01.2003
-
Format / Umfang:8 pages
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
Inhaltsverzeichnis – Band 67-68
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Preface| 2003
- 3
-
Feasibility of UV cleaning of 157-nm reticlesDuisterwinkel, A.E. / Bastein, A.T.G.M. / van Schaik, W. et al. | 2003
- 10
-
Attenuated phase-shift mask for line patterns in EUV lithographySugawara, Minoru / Chiba, Akira / Yamanashi, Hiromasa / Oizumi, Hiroaki / Nishiyama, Iwao et al. | 2003
- 17
-
Ultra-thin Cr2O3 well-crystallized films for high transmittance APSM in ArF lineLai, F.D / Huang, C.Y / Chang, C.M / Wang, L.A / Cheng, W.C et al. | 2003
- 24
-
Surface plasmon illumination scheme for contact lithography beyond the diffraction limitMartin, Olivier J.F. et al. | 2003
- 31
-
Enhancement of photolithography resolution by fractional Fourier domain filteringDu, Jinglei / Cui, Zheng / Zhang, Yixiao / Du, Chunlei / Yang, Jing / Guo, Yongkang et al. | 2003
- 39
-
157-nm lithography with high numerical aperture lens for sub-70 nm nodeItani, Toshiro / Wakamiya, Wataru / Cashmore, Julian / Gower, Malcolm et al. | 2003
- 47
-
Characteristics of a vacuum pin chuck for ArF laser lithographyUne, A. / Kunyoo, P. / Mochida, M. / Yoshitomi, K. / Matsui, S. et al. | 2003
- 56
-
Sub-50 nm period patterns with EUV interference lithographySolak, H.H. / David, C. / Gobrecht, J. / Golovkina, V. / Cerrina, F. / Kim, S.O. / Nealey, P.F. et al. | 2003
- 63
-
Phase masks fabricated by interferometric lithography for working in 248 nm wavelengthCheng, W.C. / Wang, L.A. / Hsieh, C.Y. et al. | 2003
- 70
-
Optimization of the depth of focus based on the analysis of the diffraction orders in the pupil planeManakli, S. / Trouiller, Y. / Schiavone, P. / Rody, Y. / Goirand, P.-J. et al. | 2003
- 78
-
Recent progress in cell-projection electron-beam lithographySohda, Y / Ohta, H / Murai, F / Yamamoto, J / Kawano, H / Satoh, H / Itoh, H et al. | 2003
- 87
-
Shaping X-rays by diffractive coded nano-opticsDi Fabrizio,, E. / Cabrini, S. / Cojoc, D. / Romanato, F. / Businaro, L. / Altissimo, M. / Kaulich, B. / Wilhein, T. / Susini, J. / Vittorio, M.De et al. | 2003
- 96
-
High aspect ratio, 3D structuring of photoresist materials by ion beam LIGAMunnik, F. / Benninger, F. / Mikhailov, S. / Bertsch, A. / Renaud, P. / Lorenz, H. / Gmür, M. et al. | 2003
- 104
-
Bilayer process for T-gates and G-gates using 100-kV e-beam lithographyOcola, L. E. / Tennant, D. M. / Ye, P. D. et al. | 2003
- 104
-
Bilayer process for T-gates and Γ-gates using 100-kV e-beam lithographyOcola, L.E. / Tennant, D.M. / Ye, P.D. et al. | 2003
- 109
-
EPL electron optics performance on test stand.Hamashima, M / Kojima, S / Umemoto, T / Shimizu, H / Ikeda, J / Yamada, A / Takahashi, S / Yahiro, T / Shimizu, S / Okamoto, K et al. | 2003
- 130
-
Negative resist image by dry etching: a novel surface imaging resist schemeArshak, K. / Mihov, M. / Sutton, D. / Arshak, A. / Newcomb, S.B. et al. | 2003
- 140
-
A novel silicon detector for energetic electrons with improved linearity characteristicsvon Borany, J. / Beyer, D. / Beyer, V. / Schmidt, B. / Schnabel, B. et al. | 2003
- 149
-
Characterization of charging effect on 8″ wafer during e-beam lithography exposureJaubert, V. / Lucas, P. / Mollard, L. / Tedesco, S. / Dal’zotto, B. / Landis, S. et al. | 2003
- 149
-
Characterization of charging effect on 8Prime wafer during e-beam lithography exposureJaubert, V. / Lucas, P. / Mollard, L. / Tedesco, S. / Dal'zotto, B. / Landis, S. et al. | 2003
- 157
-
Useful and cost efficient fabrication of dot arrays for photonic crystals by direct write electron-beam lithographySteingrüber, R. / Golka, S. / Heidrich, H. et al. | 2003
- 162
-
Arrays of nano-dots for cellular engineeringGadegaard, N. / Thoms, S. / Macintyre, D.S. / Mcghee, K. / Gallagher, J. / Casey, B. / Wilkinson, C.D.W. et al. | 2003
- 169
-
Design and fabrication of on-fiber diffractive elements for fiber-waveguide coupling by means of e-beam lithographyPrasciolu, M. / Cojoc, D. / Cabrini, S. / Businaro, L. / Candeloro, P. / Tormen, M. / Kumar, R. / Liberale, C. / Degiorgio, V. / Gerardino, A. et al. | 2003
- 175
-
Resistless deposition of metallic nanostructures on ion projection sensitized p-SiSpiegel, A. / Bruenger, W.H. / Dzionk, C. / Schmuki, P. et al. | 2003
- 182
-
An efficient proximity-effect correction method for electron-beam patterning of photonic-crystal devicesWüest, R. / Strasser, P. / Jungo, M. / Robin, F. / Erni, D. / Jäckel, H. et al. | 2003
- 189
-
High electron mobility transistors fabricated by nanoimprint lithographyChen, Y. / Macintyre, D.S. / Boyd, E. / Moran, D. / Thayne, I. / Thoms, S. et al. | 2003
- 196
-
Nanoimprint technology for fabrication of three-terminal ballistic junction devices in GaInAs/InPMaximov, I. / Carlberg, P. / Shorubalko, I. / Wallin, D. / Sarwe, E-L. / Beck, M. / Graczyk, M. / Seifert, W. / Xu, H.Q. / Montelius, L. et al. | 2003
- 203
-
Lift-off process for nanoimprint lithographyCarlberg, P. / Graczyk, M / Sarwe, E.-L. / Maximov, I. / Beck, M. / Montelius, L. et al. | 2003
- 208
-
High volume fabrication of customised nanopore membrane chipsHeyderman, L.J. / Ketterer, B. / Bächle, D. / Glaus, F. / Haas, B. / Schift, H. / Vogelsang, K. / Gobrecht, J. / Tiefenauer, L. / Dubochet, O. et al. | 2003
- 214
-
Nanoimprint-induced effects on electrical and optical properties of quantum well structuresZankovych, S. / Maximov, I. / Shorubalko, I. / Seekamp, J. / Beck, M. / Romanov, S. / Reuter, D. / Schafmeister, P. / Wieck, A.D. / Ahopelto, J. et al. | 2003
- 221
-
Fabrication of multi-tiered structures on step and flash imprint lithography templatesJohnson, S. / Resnick, D.J. / Mancini, D. / Nordquist, K. / Dauksher, W.J. / Gehoski, K. / Baker, J.H. / Dues, L. / Hooper, A. / Bailey, T.C. et al. | 2003
- 229
-
Microfluidic etching driven by capillary forces for rapid prototyping of gold structuresStark, R.W. / Sakai Stalder, M. / Stemmer, A. et al. | 2003
- 237
-
Fine pattern fabrication on glass surface by imprint lithographyHirai, Y. / Kanakugi, K. / Yamaguchi, T. / Yao, K. / Kitagawa, S. / Tanaka, Y. et al. | 2003
- 245
-
A non-destructive method for the removal of residual resist in imprinted patternsChen, Y. / Macintyre, D.S. / Thoms, S. et al. | 2003
- 252
-
Nanostructuring of anti-adhesive layers by hot embossing lithographyPark, Sunggook / Padeste, Celestino / Schift, Helmut / Gobrecht, Jens et al. | 2003
- 259
-
A novel thick photoresist for microsystem technologyNiedermann, Ph. / Berthou, H. / Zwickl, S. / Schönholzer, U. / Meier, K. / Gantner, Ch. / Kapp-Schwoerer, D. et al. | 2003
- 266
-
A comparison of thermally and photochemically cross-linked polymers for nanoimprintingPfeiffer, K. / Reuther, F. / Fink, M. / Gruetzner, G. / Carlberg, P. / Maximov, I. / Montelius, L. / Seekamp, J. / Zankovych, S. / Sotomayor-Torres, C.M. et al. | 2003
- 274
-
Negative tone chemically amplified resist formulation optimizations for ultra high-resolution lithographySaint-Pol, J. / Landis, S. / Gourgon, C. / Tedesco, S. / Hanawa, R. / Suetsugu, M. / Akita, M. / Yamamoto, S. et al. | 2003
- 283
-
Resist process issues related to the glass transition changes in chemically amplified resist filmsRaptis, I. / Niakoula, D. / Tegou, E. / Bellas, V. / Gogolides, E. / Argitis, P. / Papadokostaki, K.G. / Ioannidis, A. et al. | 2003
- 292
-
Studies on sensitivity and etching resistance of calix[4]arene derivatives as negative tone electron beam resistsRuderisch, A. / Sailer, H. / Schurig, V. / Kern, D.P. et al. | 2003
- 300
-
Resist and process implementation issues in future lithography processes for ULSI applicationsRonse, K. et al. | 2003
- 306
-
Grey scale structures formation in SU-8 with e-beam and UVKudryashov, V. / Yuan, X.-C. / Cheong, W.-C. / Radhakrishnan, K. et al. | 2003
- 312
-
Low alkaline contamination bottom antireflective coatings for both 193- and 157-nm lithography applicationsChen, H.L. / Chuang, Y.F. / Lee, C.C. / Hsieh, C.I. / Ko, F.H. / Wang, L.A. et al. | 2003
- 319
-
Roughness analysis of lithographically produced nanostructures: off-line measurement and scaling analysisPatsis, George P. / Constantoudis, Vasilios / Tserepi, Angeliki / Gogolides, Evangelos / Grozev, Grozdan / Hoffmann, Thomas et al. | 2003
- 326
-
Selective wet-etching of microcontact-printed Cu substrates with control over the etch profileGeissler, M. / Schmid, H. / Michel, B. / Delamarche, E. et al. | 2003
- 333
-
Novel high uniformity highly reproducible non-selective wet digital gate recess etch process for InP HEMTsCao, Xin / Thayne, Iain et al. | 2003
- 338
-
The influence of reactant transport on the profiles of gas chopping etching processes: a simulation approachVolland, Burkhard E. / Rangelow, Ivo W. et al. | 2003
- 349
-
Challenges, developments and applications of silicon deep reactive ion etchingLaermer, F. / Urban, A. et al. | 2003
- 356
-
Evaluation of induced damage by CH4/H2 reactive ion etching on InP:n++Morello, G. / Baron, C. / Re, D. / Sarocchi, D. / Meneghini, G. et al. | 2003
- 363
-
Superconducting coplanar nanolines with 50 nm linewidthWeimann, Th. / Hinze, P. / Wünsch, S. / Scherer, T.A. / Jutzi, W. / Niemeyer, J. et al. | 2003
- 369
-
Surface characterization of inductively coupled plasma etched SiC in SF6/O2Jiang, Liudi / Plank, N.O.V. / Cheung, R. / Brown, R. / Mount, A. et al. | 2003
- 376
-
Patterning of 25-nm-wide silicon webs with an aspect ratio of 13Trellenkamp, St. / Moers, J. / van der Hart, A. / Kordoš, P. / Lüth, H. et al. | 2003
- 381
-
Dual pass electron beam writing of bit arrays with sub-100 nm bits on imprint lithography masters for patterned media productionBogdanov, Alexei L. / Holmqvist, Tommy / Jedrasik, Piotr / Nilsson, Bengt et al. | 2003
- 390
-
Monolithic integration of a silicon micromotor in combination with the CMOS drive circuit on one chipHorstmann, J.T. / Goser, K.F. et al. | 2003
- 397
-
Cantilevers with nano-heaters for thermomechanical storage applicationDrechsler, U. / Bürer, N. / Despont, M. / Dürig, U. / Gotsmann, B. / Robin, F. / Vettiger, P. et al. | 2003
- 405
-
Preparation of high aspect ratio surface microstructures out of a Zr-based bulk metallic glassKündig, A.A. / Cucinelli, M. / Uggowitzer, P.J. / Dommann, A. et al. | 2003
- 410
-
Fabrication of multilevel silicon structures by anisotropic deep silicon etchingHuber, R. / Conrad, J. / Schmitt, L. / Hecker, K. / Scheurer, J. / Weber, M. et al. | 2003
- 417
-
Micromachined SU8 negative resist for MMIC applications on low resistivity CMOS substratesElgaid, Khaled / McCloy, David A. / Thayne, Iain G. et al. | 2003
- 422
-
Fabrication of functional structures on thin silicon nitride membranesEkkels, P. / Tjerkstra, R.W. / Krijnen, G.J.M / Berenschot, J.W. / Brugger, J. / Elwenspoek, M.C. et al. | 2003
- 430
-
Versatile trench isolation technology for the fabrication of microactuatorsSarajlic, E. / Berenschot, E. / Krijnen, G. / Elwenspoek, M. et al. | 2003
- 438
-
Fabrication of micro-optical elements in quartz by laser induced backside wet etchingKopitkovas, G. / Lippert, T. / David, C. / Wokaun, A. / Gobrecht, J. et al. | 2003
- 445
-
Parallel assembly of microsystems using Si micro electro mechanical systemsSkidmore, G. / Ellis, M. / Geisberger, A. / Tsui, K. / Saini, R. / Huang, T. / Randall, J. et al. | 2003
- 453
-
Deep reactive ion etching of silicon and diamond for the fabrication of planar refractive hard X-ray lensesNöhammer, B. / David, C. / Rothuizen, H. / Hoszowska, J. / Simionovici, A. et al. | 2003
- 461
-
Miniaturized imaging systemsVölkel, R. / Eisner, M. / Weible, K.J. et al. | 2003
- 473
-
Rapid prototyping of micro-electrodes on glass and polymers by laser-assisted corrosion of aluminum films in waterHaefliger, D. / Cahill, B.P. / Stemmer, A. et al. | 2003
- 479
-
Fabrication of 3D metallic photonic crystals by X-ray lithographyRomanato, F. / Businaro, L. / Vaccari, L. / Cabrini, S. / Candeloro, P. / De Vittorio, M. / Passaseo, A. / Todaro, M.T. / Cingolani, R. / Cattaruzza, E. et al. | 2003
- 487
-
Batch processing of CMOS compatible feedthroughsRasmussen, F.E. / Heschel, M. / Hansen, O. et al. | 2003
- 495
-
Effective baking of thick and ultra-thick photoresist layers by infrared radiationKubenz, M / Ostrzinski, U / Reuther, F / Gruetzner, G et al. | 2003
- 502
-
Fabrication of crystalline membranes oriented in the (111) plane in a (100) silicon waferVenstra, W.J. / Sarro, P.M. et al. | 2003
- 508
-
High-performance ultra-small single crystalline silicon microphone of an integrated structureTajima, T. / Nishiguchi, T. / Chiba, S. / Morita, A. / Abe, M. / Tanioka, K. / Saito, N. / Esashi, M. et al. | 2003
- 520
-
Fabricating high-density microarrays for retinal recordingMathieson, K / Cunningham, W / Marchal, J / Melone, J / Horn, M / O’Shea, V / Smith, K.M / Litke, A / Chichilnisky, E.J / Rahman, M et al. | 2003
- 528
-
Fabrication of slot antenna array coupled warm carrier far-infrared radiation detectorsYasuoka, Yoshizumi / Suzuki, Kenji et al. | 2003
- 534
-
AFM cantilever with ultra-thin transistor-channel piezoresistor: quantum confinementIvanov, Tzv. / Gotszalk, T. / Sulzbach, T. / Chakarov, I. / Rangelow, I.W. et al. | 2003
- 542
-
Wide range tuneable resonators for vibration measurementsScheibner, Dirk / Mehner, Jan / Brämer, Birgit / Gessner, Thomas / Dötzel, Wolfram et al. | 2003
- 550
-
Thermally driven micromechanical beam with piezoresistive deflection readoutIvanov, Tzv. / Gotszalk, T. / Grabiec, P. / Tomerov, E. / Rangelow, I.W. et al. | 2003
- 557
-
Powder blasting patterning technology for microfabrication of complex suspended structures in glassPawlowski, Anne-Gabrielle / Belloy, Eric / Sayah, Abdeljalil / Gijs, Martin A.M. et al. | 2003
- 566
-
Characterisation of adaptive optic pyramid wavefront sensors fabricated by deep X-ray lithographyPérennès, F. / Ghigo, M. / Cabrini, S. et al. | 2003
- 574
-
Integrated conical spring linear actuatorHata, S. / Kato, T. / Fukushige, T. / Shimokohbe, A. et al. | 2003
- 582
-
Fabrication and evaluation of an on-chip micro-variable inductorFukushige, T. / Yokoyama, Y. / Hata, S. / Masu, K. / Shimokohbe, A. et al. | 2003
- 588
-
Processing and application of magnetoelastic thin films in high-frequency devicesFrommberger, M. / Zanke, Ch. / Ludwig, A. / Tewes, M. / Quandt, E. et al. | 2003
- 595
-
Fabrication of SiGe/Si/Cr bent cantilevers based on self-rolling of epitaxial filmsGolod, S.V. / Grützmacher, D. / David, C. / Deckardt, E. / Kirfel, O. / Mentese, S. / Ketterer, B. et al. | 2003
- 602
-
Improvements towards a reliable fabrication process for cMUTCianci, E. / Visigalli, L. / Foglietti, V. / Caliano, G. / Pappalardo, M. et al. | 2003
- 609
-
Fabrication and application of a full wafer size micro/nanostencil for multiple length-scale surface patterningKim, G.M. / van den Boogaart, M.A.F. / Brugger, J. et al. | 2003
- 615
-
Self-aligned electrodes for suspended carbon nanotube structuresRobinson, L.A.W. / Lee, S.-B. / Teo, K.B.K. / Chhowalla, M. / Amaratunga, G.A.J. / Milne, W.I. / Williams, D.A. / Hasko, D.G. / Ahmed, H. et al. | 2003
- 623
-
Fluorescence microscopy for quality control in nanoimprint lithographyFinder, Ch. / Beck, M. / Seekamp, J. / Pfeiffer, K. / Carlberg, P. / Maximov, I. / Reuther, F. / Sarwe, E.-L. / Zankovich, S. / Ahopelto, J. et al. | 2003
- 629
-
Effects of annealing conditions on charge storage of Si nanocrystal memory devices obtained by low-energy ion beam synthesisNormand, P. / Kapetanakis, E. / Dimitrakis, P. / Skarlatos, D. / Tsoukalas, D. / Beltsios, K. / Claverie, A. / Benassayag, G. / Bonafos, C. / Carrada, M. et al. | 2003
- 635
-
Switchable cantilever fabrication for a novel time-of-flight scanning force microscopeLee, Dong Weon / Despont, Michel / Drechsler, Ute / Gerber, Christoph / Vettiger, Peter / Wetzel, Adrian / Bennewitz, Roland / Meyer, Ernst et al. | 2003
- 644
-
Nanoscale dispensing of liquids through cantilevered probesMeister, A. / Jeney, S. / Liley, M. / Akiyama, T. / Staufer, U. / de Rooij, N.F. / Heinzelmann, H. et al. | 2003
- 651
-
Dot formation with 170-nm dimensions using a thermal lithography techniqueKuwahara, M. / Kim, J.H. / Tominaga, J. et al. | 2003
- 657
-
Local mass transport and its effect on global pattern replication during hot embossingSchulz, H. / Wissen, M. / Scheer, H.-C. et al. | 2003
- 664
-
Progress towards atom lithography on ironte Sligte, E. / Smeets, B. / Bosch, R.C.M. / van der Stam, K.M.R. / Maguire, L.P. / Scholten, R.E. / Beijerinck, H.C.W. / van Leeuwen, K.A.H. et al. | 2003
- 670
-
Nanofabrication of high refractive index contrast two-dimensional photonic crystal waveguidesTodaro, M.T. / Stomeo, T. / Vitale, V. / DeVittorio, M. / Passaseo, A. / Cingolani, R. / Romanato, F. / Businaro, L. / Di Fabrizio, E. et al. | 2003
- 676
-
Fabrication and characterization of highly doped suspended silicon wiresDiligenti, A. / Macucci, M. / Pellegrini, B. / Piotto, M. et al. | 2003
- 683
-
Alignment and nano-connections of isolated carbon nanotubesSagnes, M. / Broto, J.-M. / Raquet, B. / Ondarçuhu, T. / Laurent, C. / Flahaut, E. / Vieu, C. / Carcenac, F. et al. | 2003
- 690
-
Fabrication of highly conductive stressed-metal springs and their use as sliding-contact interconnectsHantschel, T. / Wong, L. / Chua, C.L. / Fork, D.K. et al. | 2003
- 696
-
Single-step nanopatterning with a non-contact scanning force microscope by electrically induced local chemical vapour depositionCroitoru, M.D. / Höchst, A. / Bertsche, G. / Krauß, S. / Roth, S. / Kern, D.P. et al. | 2003
- 702
-
Rapid fabrication of high quality self-assembled nanometer gold particles by spin coating methodLiu, Fu-Ken / Chang, Yu-Cheng / Ko, Fu-Hsiang / Chu, Tieh-Chi / Dai, Bau-Tong et al. | 2003
- 710
-
Nano-replication of diffractive optical elements in sol–gel derived glassesParashar, V.K. / Sayah, A. / Pfeffer, M. / Schoch, F. / Gobrecht, J. / Gijs, M.A.M. et al. | 2003
- 720
-
Metallic air-bridges on non-planar transport structuresBorzenko, T. / Lehmann, F. / Schmidt, G. / Molenkamp, L.W. et al. | 2003
- 728
-
SNOM imaging of very fine pits formed by EB lithography for ultrahigh density optical recordingHosaka, Sumio / Sone, Hayato / Takahashi, Yoshitaka / Shintani, Toshimichi / Kato, Keizo / Saiki, Toshiharu et al. | 2003
- 736
-
Localized functionalization of surfaces with molecules from solution using electrostatic attractionNaujoks, N. / Stemmer, A. et al. | 2003
- 742
-
Fabrication of band-gap structures in planar nonlinear waveguides for second harmonic generationFoglietti, V. / Cianci, E. / Pezzetta, D. / Sibilia, C. / Marangoni, M. / Osellame, R. / Ramponi, R. et al. | 2003
- 749
-
Fabrication of single electron transistors in multi-wall carbon nanotubes using Ar beam irradiationIshibashi, K. / Suzuki, M. / Tsuya, D. / Aoyagi, Y. et al. | 2003
- 755
-
Silicon single-electron parametron cell for solid-state quantum information processingEmiroglu, E.G. / Durrani, Z.A.K. / Hasko, D.G. / Williams, D.A. et al. | 2003
- 763
-
20 nm electron beam lithography and reactive ion etching for the fabrication of double gate FinFET devicesKretz, J. / Dreeskornfeld, L. / Hartwich, J. / Rösner, W. et al. | 2003
- 769
-
Novel technologies for the realisation of GaAs pHEMTs with 120 nm self-aligned and nanoimprinted T-gatesMoran, D. / Boyd, E. / McLelland, H. / Elgaid. Y. Chen, K. / Macintyre, D.S. / Thoms, S. / Stanley, C.R. / Thayne, I.G. et al. | 2003
- 775
-
The twin radio frequency single electron transistor for correlated charge detection on microsecond time-scalesBuehler, T.M. / Reilly, D.J. / Starrett, R.P. / Kenyon, S. / Hamilton, A.R. / Dzurak, A.S. / Clark, R.G. et al. | 2003
- 782
-
Semiconductor micro- and nanoneedles for microinjections and ink-jet printingPrinz, A.V. / Prinz, V.Ya. / Seleznev, V.A. et al. | 2003
- 789
-
Lateral field emitters fabricated using carbon nanotubesTeh, A.S. / Lee, S.-B. / Teo, K.B.K. / Chhowalla, M. / Milne, W.I. / Hasko, D.G. / Ahmed, H. / Amaratunga, G.A.J. et al. | 2003
- 797
-
Nanoscale lateral light emitting p–n junctions in AlGaAs/GaAsKaestner, B. / Williams, D.A. / Hasko, D.G. et al. | 2003
- 803
-
Stressed-metal NiZr probes for atomic force microscopyHantschel, T. / Chow, E.M. / Rudolph, D. / Shih, C. / Wong, L. / Fork, D.K. et al. | 2003
- 810
-
Influence of channel width on n- and p-type nano-wire-MOSFETs on silicon on insulator substrateLemme, M. / Mollenhauer, T. / Henschel, W. / Wahlbrink, T. / Heuser, M. / Baus, M. / Winkler, O. / Spangenberg, B. / Granzner, R. / Schwierz, F. et al. | 2003
- 818
-
Determining the stress–strain behaviour of small devices by nanoindentation in combination with inverse methodsStauss, S. / Schwaller, P. / Bucaille, J.-L. / Rabe, R. / Rohr, L. / Michler, J. / Blank, E. et al. | 2003
- 826
-
Double-island single-electron transistor for noise-suppressed detection of charge transferBrenner, R. / Hamilton, A.R. / Clark, R.G. / Dzurak, A.S. et al. | 2003
- 832
-
Light emission tuning of In0.5Ga0.5As/In0.05Ga0.95As quantum dots by a two-dimensional photonic crystalVitale, V. / Todaro, M.T. / Stomeo, T. / Margapoti, E. / Passaseo, A. / De Giorgi, M. / De Vittorio, M. / Cingolani, R. / Romanato, F. / Candeloro, P. et al. | 2003
- 838
-
Planar nanotips as probes for transport experiments in moleculesMaruccio, G. / Visconti, P. / D’Amico, S. / Calogiuri, P. / D’Amone, E. / Cingolani, R. / Rinaldi, R. et al. | 2003
- 845
-
Nanometer scale organic thin film transistors with PentacenePannemann, Ch. / Diekmann, T. / Hilleringmann, U. et al. | 2003
- 853
-
Contact resistance of multiwall carbon nanotubesWakaya, F. / Katayama, K. / Gamo, K. et al. | 2003
- 858
-
Evidence of room temperature charging effects of silicon nanocrystals inside metal-oxide-semiconductor capacitors using feedback charge measurementsFerraton, S. / Montès, L. / Souifi, A. / Zimmermann, J. et al. | 2003
- 865
-
Rectangular channels for lab-on-a-chip applicationsCeriotti, L. / Weible, K. / de Rooij, N.F. / Verpoorte, E. et al. | 2003
- 872
-
Computer-controlled orientation of multiple optically-trapped microscopic particlesEriksen, R.L. / Daria, V.R. / Rodrigo, P.J. / Glückstad, J. et al. | 2003
- 879
-
Quantitative assessment of dielectrophoresis as a micro fluidic retention and separation technique for beads and human blood erythrocytesAuerswald, Janko / Knapp, Helmut F. et al. | 2003
- 887
-
Fabrication and characterization of a molecular adhesive layer for micro- and nanofabricated electrochemical electrodesLing, T.G.I. / Beck, M. / Bunk, R. / Forsén, E. / Tegenfeldt, J.O. / Zakharov, A.A. / Montelius, L. et al. | 2003
- 893
-
A cantilever-based sensor for thermal cycling in buffer solutionMarie, Rodolphe / Thaysen, Jacob / Christensen, Claus Bo Vöge / Boisen, Anja et al. | 2003
- 899
-
Towards a ‘nano-traffic’ system powered by molecular motorsBunk, Richard / Klinth, Jeanna / Rosengren, Jenny / Nicholls, Ian / Tågerud, Sven / Omling, Pär / Månsson, Alf / Montelius, Lars et al. | 2003
- 905
-
Size-dependent free solution DNA electrophoresis in structured microfluidic systemsDuong, Thanh Tu / Kim, Gyuman / Ros, Robert / Streek, Martin / Schmid, Friederike / Brugger, Jürgen / Anselmetti, Dario / Ros, Alexandra et al. | 2003
- 913
-
Cell reactions to microstructured implant surfacesPfeiffer, Friederike / Herzog, Bertram / Kern, Dieter / Scheideler, Lutz / Geis-Gerstorfer, Jürgen / Wolburg, Hartwig et al. | 2003
- 923
-
Laser assisted deposition of nanopatterned biomolecular layersGerardino, A. / Notargiacomo, A. / Morales, P. et al. | 2003
- 930
-
Imaging streamlines and interface profiles in microfluidic geometriesJameson, R / Chen, Y / Pépin, A / Decanini, D et al. | 2003
- 938
-
Model-based signal conditioning for high-speed atomic force and friction force microscopySchitter, G. / Stemmer, A. et al. | 2003
- 945
-
Scanning spreading resistance microscopy of fully depleted silicon-on-insulator devicesÁlvarez, D. / Hartwich, J. / Kretz, J. / Fouchier, M. / Vandervorst, W. et al. | 2003
- 951
-
NEA photocathode for SEM applicationOhshima, T. et al. | 2003
- 955
-
Selective X-ray absorption spectroscopy of self-assembled atom in InAs quantum dotIshii, Masashi / Ozasa, Kazunari / Aoyagi, Yoshinobu et al. | 2003
- 963
-
In-situ monitoring of electron beam induced deposition by atomic force microscopy in a scanning electron microscopeBauerdick, S. / Burkhardt, C. / Rudorf, R. / Barth, W. / Bucher, V. / Nisch, W. et al. | 2003
- 970
-
Author Index| 2003
- ii
-
Editorial Board| 2003
- v
-
Table of Contents| 2003