An integrated on chip organic optical source based on electrochemiluminescence (Englisch)
- Neue Suche nach: Méance, Sébastien
- Neue Suche nach: Papin, Kévin
- Neue Suche nach: Gamby, Jean
- Neue Suche nach: Aubry, Guillaume
- Neue Suche nach: Kou, Qingli
- Neue Suche nach: Haghiri-Gosnet, Anne-Marie
- Neue Suche nach: Méance, Sébastien
- Neue Suche nach: Papin, Kévin
- Neue Suche nach: Gamby, Jean
- Neue Suche nach: Aubry, Guillaume
- Neue Suche nach: Kou, Qingli
- Neue Suche nach: Haghiri-Gosnet, Anne-Marie
In:
Microelectronic Engineering
;
88
, 8
;
1798-1800
;
2011
-
ISSN:
- Aufsatz (Zeitschrift) / Elektronische Ressource
-
Titel:An integrated on chip organic optical source based on electrochemiluminescence
-
Beteiligte:Méance, Sébastien ( Autor:in ) / Papin, Kévin ( Autor:in ) / Gamby, Jean ( Autor:in ) / Aubry, Guillaume ( Autor:in ) / Kou, Qingli ( Autor:in ) / Haghiri-Gosnet, Anne-Marie ( Autor:in )
-
Erschienen in:Microelectronic Engineering ; 88, 8 ; 1798-1800
-
Verlag:
- Neue Suche nach: Elsevier B.V.
-
Erscheinungsdatum:01.01.2011
-
Format / Umfang:3 pages
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
Inhaltsverzeichnis – Band 88, Ausgabe 8
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1659
-
PrefaceGentili, Massimo / De Vittorio, Massimo et al. | 2011
- 1660
-
Ultrahydrophobic PMMA micro- and nano-textured surfaces fabricated by optical lithography and plasma etching for X-ray diffraction studiesAccardo, Angelo / Gentile, Francesco / Mecarini, Federico / De Angelis, Francesco / Burghammer, Manfred / Di Fabrizio, Enzo / Riekel, Christian et al. | 2010
- 1664
-
Fabrication of SU-8 microreactors for radiopharmaceutical productionZizzari, A. / Arima, V. / Zacheo, A. / Pascali, G. / Salvadori, P.A. / Perrone, E. / Mangiullo, D. / Rinaldi, R. et al. | 2010
- 1668
-
Multi-scale engineering for neuronal cell growth and differentiationBéduer, Amélie / Vaysse, Laurence / Flahaut, Emmanuel / Seichepine, Florent / Loubinoux, Isabelle / Vieu, Christophe et al. | 2010
- 1672
-
A wireless microsystem with digital data compression for neural spike recordingBonfanti, A. / Zambra, G. / Baranauskas, G. / Angotzi, G.N. / Maggiolini, E. / Semprini, M. / Vato, A. / Fadiga, L. / Spinelli, A.S. / Lacaita, A.L. et al. | 2011
- 1676
-
Development and characterization of a bio-hybrid skin-like stretchable electrodeBuselli, E. / Smith, A.M. / Grover, L.M. / Levi, A. / Allman, R. / Mattoli, V. / Menciassi, A. / Beccai, L. et al. | 2010
- 1681
-
Micromolding for ceramic microneedle arraysBystrova, S. / Luttge, R. et al. | 2011
- 1685
-
Micro-“factory” for self-assembled peptide nanostructuresCastillo-León, Jaime / Rodriguez-Trujillo, Romén / Gauthier, Sebastian / Jensen, Alexander C.Ø. / Svendsen, Winnie E. et al. | 2010
- 1689
-
Microfluidic chip with temporal and spatial concentration generation capabilities for biological applicationsSahai, Ranjana / Martino, Chiara / Castrataro, Piero / Menciassi, Arianna / Ferrari, Aldo / Beltram, Fabio / Cecchini, Marco et al. | 2010
- 1693
-
Investigation of cell culture in microfluidic devices with different bi-layer substratesShi, Jian / Liu, Li / Chen, Yong et al. | 2011
- 1698
-
Microfluidic generation and dynamically switching of oxygen gradients applied to the observation of cell aerotactic behaviourLi, Ning / Luo, Chunxiong / Zhu, Xuejun / Chen, Yong / Ouyang, Qi / Zhou, Liping et al. | 2011
- 1702
-
Fabrication of high density metallic nanowires and nanotubes for cell culture studiesTian, J.H. / Hu, J. / Zhang, F. / Li, X. / Shi, J. / Liu, J. / Tian, Z.Q. / Chen, Y. et al. | 2010
- 1707
-
Differentiating stem cells on patterned substrates for neural network formationLuo, ChunXiong / Liu, Li / Ni, XiaoFang / Wang, Li / Nomura, Shinichiro M. / Ouyang, Qi / Chen, Yong et al. | 2010
- 1711
-
Online impedance monitoring of yeast cell culture behaviorsLiu, J.J. / Li, H. / Zhang, F. / Li, X. / Wang, L. / Chen, Y. et al. | 2010
- 1714
-
Cell culture on AAO nanoporous substrates with and without geometry constrainsHu, J. / Tian, J.H. / Shi, J. / Zhang, F. / He, D.L. / Liu, L. / Jung, D.J. / Bai, J.B. / Chen, Y. et al. | 2010
- 1718
-
Fabrication of polymer fiber scaffolds by centrifugal spinning for cell culture studiesWang, Li / Shi, Jian / Liu, Li / Secret, Emilie / Chen, Yong et al. | 2010
- 1722
-
Impedance monitoring of cell adhesion and growth on mesoporous membraneJiang, Lianmei / Liu, Jianmiao / Shi, Jian / Li, Xin / Li, Hao / Liu, Jun / Ye, Jiannong / Chen, Yong et al. | 2010
- 1726
-
Micro squeeze flow rheometer for high frequency analysis of nano-litre volumes of viscoelastic fluidCheneler, D. / Bowen, J. / Ward, M.C.L. / Adams, M.J. et al. | 2010
- 1730
-
Analysis and design of a high performance and low cost bio-mass sensor based on the radial contour mode disk resonatorHeidari, Amir / Yoon, Yong-Jin / Choi, Hae-Jin et al. | 2011
- 1733
-
Cell trapping, DNA extraction and Molecular Combing in a microfluidic device for high throughput genetic analysis of human DNACinque, Lucia / Yamada, Ayako / Ghomchi, Yamina / Baigl, Damien / Chen, Yong et al. | 2010
- 1737
-
Bionic soft crystalline lens materials for MEMs applications based on self-assembling amphiphilic block copolymer/nanoparticle hybridsChang, Chun-Jie / Yang, Yi-Lung / Lee, Yu-Ping / Chiang, Chi-Ju / Dai, Chi-An / Chen, Jyh-Chien / Cheng, Yao-Yi / Chen, Chien-Chun / Liu, Ming-Wei / Shih, Wen-Pin et al. | 2010
- 1742
-
Cobalt oxide nanosheet humidity sensor integrated with circuit on chipYang, Ming-Zhi / Dai, Ching-Liang / Shih, Po-Jen / Chen, Yen-Chi et al. | 2010
- 1745
-
EWOD-based chip characterization under AC voltageMalk, Rachid / Davoust, Laurent / Fouillet, Yves et al. | 2010
- 1749
-
Nanoporous- micropatterned- superhydrophobic surfaces as harvesting agents for few low molecular weight moleculesGentile, F. / Coluccio, M.L. / Accardo, A. / Asande, M. / Cojoc, G. / Mecarini, F. / Das, G. / Liberale, C. / De Angelis, F. / Candeloro, P. et al. | 2010
- 1753
-
Temperature insensitive conductance detection with surface-functionalised silicon nanowire sensorsGhiass, Mohammad Adel / Armini, Silvia / Carli, Marta / Caro, Arantxa Maestre / Cherman, Vladimir / Ogi, Jun / Oda, Shunri / Moktadir, Zakaria / Tsuchiya, Yoshishige / Mizuta, Hiroshi et al. | 2011
- 1757
-
A planar conducting microstructure to guide and confine magnetic beads to a sensing zoneGooneratne, Chinthaka P. / Liang, Cai / Kosel, Jürgen et al. | 2010
- 1761
-
Fabrication and characterization of silver deposited micro fabricated quartz arrays for surface enhanced Raman spectroscopy (SERS)Huebner, Uwe / Weber, K. / Cialla, D. / Schneidewind, H. / Zeisberger, M. / Meyer, H.-G. / Popp, J. et al. | 2010
- 1764
-
A cellular preconcentrator utilizing dielectrophoresis generated by curvy electrodes in stepping electric fieldsJen, Chun-Ping / Huang, Ching-Te / Chang, Ho-Hsien et al. | 2010
- 1768
-
Fabrication of a pneumatically driven single-cell trapKim, Tae Kyung / Jeong, Ok Chan et al. | 2010
- 1772
-
Compact dynamic microfluidic iris for active opticsKimmle, Christina / Schmittat, Udo / Doering, Christoph / Fouckhardt, Henning et al. | 2010
- 1775
-
Effects of microstructure geometry and plasma modification on wetting properties of SU-8 surfacesKobayashi, Seiya / Makino, Eiji / Mineta, Takashi / Komatsuzaki, Tomohiro et al. | 2011
- 1779
-
Tin oxide nanosensors for highly sensitive toxic gas detection and their 3D system integrationGriessler, C. / Brunet, E. / Maier, T. / Steinhauer, S. / Köck, A. / Jordi, T. / Schrank, F. / Schrems, M. et al. | 2011
- 1782
-
Characterisation of the deflection of thin perforated SiN membranes of micro-hole array chips used for the cell selectionKurz, Christian M. / Thielecke, Hagen et al. | 2010
- 1785
-
The configurable-biomolecular nano pattern controlled by surface potentialLin, Chih-Ting / Chung, Shang-Lun / Lin, Chih-Hao / Kuo, Po-Ling / Li, Chang-Hong et al. | 2010
- 1789
-
Dynamic behaviour of a diffusion layer around a cation-exchange membrane in an external electric fieldSvoboda, Miloš / Kratochvíla, Jiří / Lindner, Jiří / Přibyl, Michal / Šnita, Dalimil et al. | 2010
- 1792
-
Magnetic and meniscus-effect control of catalytic rolled-up micromotorsLi, Jin-Xing / Lu, Bing-Rui / Shen, Zhenkui / Xu, Zhencheng / Li, Hui / Wen, Juanjuan / Li, Zhidong / Qu, Xin-Ping / Chen, Yi-fang / Mei, Yongfeng et al. | 2011
- 1795
-
Performance of a multi-electrode silicon-based dielectrophoretic cage device using four electrical contactsMasood, N. / Ferrier, G.A. / Thomson, D.J. / Buchanan, D.A. et al. | 2010
- 1798
-
An integrated on chip organic optical source based on electrochemiluminescenceMéance, Sébastien / Papin, Kévin / Gamby, Jean / Aubry, Guillaume / Kou, Qingli / Haghiri-Gosnet, Anne-Marie et al. | 2011
- 1801
-
Design of microfluidic devices for drug screening on in-vitro cells for osteoporosis therapiesNason, F. / Morganti, E. / Collini, C. / Ress, C. / Bersini, S. / Pennati, G. / Boschetti, F. / Colombini, A. / Lombardi, G. / Banfi, G. et al. | 2011
- 1807
-
Design and fabrication of microfluidic actuators towards microanalysis systems for bioaffinity assaysMorganti, E. / Buselli, E. / Collini, C. / Menciassi, A. / Lorenzelli, L. et al. | 2010
- 1811
-
A capacitive tactile sensor array for surface texture discriminationMuhammad, H.B. / Recchiuto, C. / Oddo, C.M. / Beccai, L. / Anthony, C.J. / Adams, M.J. / Carrozza, M.C. / Ward, M.C.L. et al. | 2011
- 1814
-
Slurry mixing device with microchannels for gelcastingNagato, Keisuke / Hoshino, Hiroaki / Hamaguchi, Tetsuya / Nakao, Masayuki et al. | 2011
- 1817
-
Fabrication of high-aspect-ratio amorphous perfluorinated polymer structure for total internal reflection fluorescence microscopyNakahara, Asahi / Shirasaki, Yoshitaka / Kawai, Kentaro / Ohara, Osamu / Mizuno, Jun / Shoji, Shuichi et al. | 2011
- 1821
-
Capillary force assembly of giant vesicles on a microstructured substrateBroguière, N. / Pinedo Rivera, T. / Pépin-Donat, B. / Nicolas, A. / Peyrade, D. et al. | 2010
- 1825
-
Studying bacterial adhesion forces: Staphylococcus aureus on elastic poly(dimethyl)siloxane substratesNill, Peter / Goehring, Nadine / Loeffler, Ronny / Peschel, Andreas / Kern, Dieter P. et al. | 2011
- 1828
-
Microfluidic arrays for bioimprint of cancer cellsNock, Volker / Murray, Lynn / Samsuri, Fahmi / Alkaisi, Maan M. / Evans, John J. et al. | 2010
- 1832
-
Fabrication of carbon nanofibers using only ion beam irradiation to glassy carbonOkumoto, Takashi / Taniguchi, Jun / Kamiya, Yasuhiro et al. | 2011
- 1836
-
Replication of high aspect ratio pillar array structures in biocompatible polymers for tissue engineering applicationsPadeste, C. / Özçelik, H. / Ziegler, J. / Schleunitz, A. / Bednarzik, M. / Yücel, D. / Hasırcı, V. et al. | 2010
- 1840
-
Fabrication of gold micro-spine structures for improvement of cell/device adhesionPanaitov, Gregory / Thiery, Simon / Hofmann, Boris / Offenhäusser, Andreas et al. | 2011
- 1845
-
Fluorescence enhancement from plasmonic Au templatesPetrou, P. / Raptis, I. / Kakabakos, S. / Speliotis, Th. / Gerardino, A. / Papanikolaou, N. et al. | 2011
- 1849
-
Study of dynamic viscoelastic behavior of polystyrene films on addition of oleic acidPatra, Niranjan / Salerno, Marco / Diaspro, Alberto / Athanassiou, Athanassia et al. | 2010
- 1852
-
Selective grafting of proteins on Janus particles: Adsorption and covalent coupling strategiesHonegger, T. / Sarla, S. / Lecarme, O. / Berton, K. / Nicolas, A. / Peyrade, D. et al. | 2010
- 1856
-
Nanostructures in protein chips: Effect of print buffer additive and wettability on immobilization and assay performancePreininger, Claudia / Sauer, Ursula / Chouiki, Mustapha / Schöftner, Rainer et al. | 2011
- 1860
-
Elastomeric nanocomposite based on carbon nanotubes for Polymerase Chain Reaction deviceQuaglio, M. / Bianco, S. / Castagna, R. / Cocuzza, M. / Pirri, C.F. et al. | 2011
- 1864
-
FinFET for high sensitivity ion and biological sensing applicationsRigante, Sara / Lattanzio, Livio / Ionescu, Adrian M. et al. | 2010
- 1867
-
Reflow of supported sub-100nm polymer films as a characterization process for NanoImprint lithographyLeveder, T. / Rognin, E. / Landis, S. / Davoust, L. et al. | 2011
- 1871
-
Detection of changes in cell membrane structures using the Bioimprint techniqueSamsuri, Fahmi / Alkaisi, Maan M. / Evans, John J. / Chitcholtan, Kenny / Mitchell, John S. et al. | 2010
- 1875
-
Three-dimensional simultaneous measurements of micro-fluorescent-particle position and temperature field via digital hologramSatake, Shin-ichi / Anraku, Takafuni / Tamoto, Fumihiko / Sato, Kazuho / Kunugi, Tomoaki et al. | 2010
- 1878
-
Fabrication of AD/DA microfluidic converter using deep reactive ion etching of silicon and low temperature wafer bondingWu, Chang / Bendriaa, Farida / Brunelle, François / Senez, Vincent et al. | 2010
- 1884
-
Planar micro-direct methanol fuel cell prototyped by rapid powder blastingShen, M. / Walter, S. / Dovat, L. / Gijs, M.A.M. et al. | 2010
- 1887
-
Deposition and structuring of Ag/AgCl electrodes inside a closed polymeric microfluidic system for electroosmotic pumpingHeuck, F. / van der Ploeg, P. / Staufer, U. et al. | 2011
- 1891
-
Exotic droplets formed in microfluidic chips with uniform wettabilityWacker, Josias / Louis, Guillaume / Razaname, Cédric / Parashar, Virendra K. / Gijs, Martin A.M. et al. | 2010
- 1894
-
A PDMS-based thermo-pneumatic micropump with Parylene inner wallsYang, Lung-Jieh / Lin, Tzu-Yuan et al. | 2011
- 1898
-
Sinusoidal plasmonic crystals for bio-detection sensorsZacco, G. / Romanato, F. / Sonato, A. / Sammito, D. / Ruffato, G. / Morpurgo, M. / Silvestri, D. / Carli, M. / Schiavuta, P. / Brusatin, G. et al. | 2011
- 1902
-
Novel thermoplastic polymers with improved release properties for thermal NILAtasoy, H. / Vogler, M. / Haatainen, T. / Schleunitz, A. / Jarzabek, D. / Schift, H. / Reuther, F. / Gruetzner, G. / Rymuza, Z. et al. | 2011
- 1906
-
Leakage current in single electron device due to implanted gallium dopants by focus ion beamCheam, Daw Don / Walczak, Karl A. / Archaya, Manoranjan / Friedrich, Craig R. / Bergstrom, Paul L. et al. | 2011
- 1910
-
Implementation of electron beam grey scale lithography and proximity effect correction for silicon nanowire device fabricationBolten, Jens / Wahlbrink, Thorsten / Schmidt, Mathias / Gottlob, Heinrich D.B. / Kurz, Heinrich et al. | 2010
- 1913
-
Hybrid porous resist with sensing functionalityBrigo, L. / Grenci, G. / Baù, L. / Carpentiero, A. / Mancin, F. / Romanato, F. / Tormen, M. / Guglielmi, M. / Brusatin, G. et al. | 2010
- 1917
-
Potential applications of negative tone development in advanced lithographyDe Simone, Danilo / Tenaglia, Enrico / Piazza, Paolo / Vaccaro, Alessandro / Bollin, Maddalena / Capetti, Gianfranco / Piacentini, Paolo / Canestrari, Paolo et al. | 2011
- 1923
-
Interferential lithography of Bragg gratings on hybrid organic–inorganic sol–gel materialsDella Giustina, G. / Zacco, G. / Zanchetta, E. / Gugliemi, M. / Romanato, F. / Brusatin, G. et al. | 2011
- 1927
-
Dual step EBL Gate fabrication technology for GaN-HEMT wideband applicationsDominijanni, D. / Giovine, E. / Notargiacomo, A. / Pantellini, A. / Romanini, P. / Peroni, M. / Nanni, A. et al. | 2011
- 1931
-
Analysis of fabricating arbitrary nanoscale patterns by LSPP direct writing lithography with two-dimensional metal hole-arrayShi, Sha / Zhang, Zhiyou / Shi, Ruiying / Niu, Xiaoyun / Li, Shuhong / Li, Min / Wang, Jingquan / Du, Jinglei / Gao, Fuhua / Du, Chunlei et al. | 2011
- 1935
-
Microcontact printing of biomolecular gratings from SU-8 masters duplicated by Thermal Soft UV NILEgea, Amandine M.C. / Vieu, Christophe et al. | 2010
- 1939
-
Effect of upper surface characteristics on meniscus stability in immersion flow fieldChen, Ying / Lee, Kok-Meng / Fu, Xin et al. | 2011
- 1944
-
Development of interference lithography for 22nm node and belowFukushima, Yasuyuki / Yamaguchi, Yuya / Iguchi, Takafumi / Urayama, Takuro / Harada, Tetsuo / Watanabe, Takeo / Kinoshita, Hiroo et al. | 2011
- 1948
-
Chemical modification and patterning of self assembled monolayers using scanning electron and ion-beam lithographyRoldán, Maria Jesús Pérez / García, César Pascual / Marchesini, Gerardo / Gilliland, Douglas / Ceccone, Giacomo / Mehn, Dora / Colpo, Pascal / Rossi, François et al. | 2010
- 1951
-
Fabrication of metamaterials in the optical spectral rangeGaroli, D. / Natali, M. / Parisi, G. / Ongarello, T. / Sovernigo, E. / Massari, M. / Giorgis, V. / Ruffato, G. / De Zuani, S. / Romanato, F. et al. | 2010
- 1955
-
Characterization of a new cobalt precursor for focused beam deposition of magnetic nanostructuresGazzadi, G.C. / Mulders, J.J.L. / Trompenaars, P. / Ghirri, A. / Rota, A. / Affronte, M. / Frabboni, S. et al. | 2010
- 1959
-
Influence of PEN thermal properties on flexible film patterned by nanoimprint lithographyGourgon, C. / Philippot, G. / Labau, S. / Tortai, J.H. / Benwadih, M. / Bablet, J. et al. | 2011
- 1964
-
Boehmite filled hybrid sol–gel system as directly writable hard etching mask for pattern transferGrenci, Gianluca / Della Giustina, Gioia / Pozzato, Alessandro / Brusatin, Giovanna / Tormen, Massimo et al. | 2011
- 1968
-
Ionic liquid ion sources for silicon reactive machiningGuilet, S. / Perez-Martinez, C. / Jegou, P. / Lozano, P. / Gierak, J. et al. | 2010
- 1972
-
Fabrication of large scale arrays of metallic nanodots by means of high resolution e-beam lithographyGuzenko, Vitaliy A. / Ziegler, Jörg / Savouchkina, Anastasia / Padeste, Celestino / David, Christian et al. | 2011
- 1975
-
Comparison of quartz and silicon as a master mold substrate for patterned media UV-NIL replica processHoga, Morihisa / Itoh, Kimio / Ishikawa, Mikio / Kuwahara, Naoko / Fukuda, Masaharu / Toyama, Nobuhito / Kurokawa, Syuhei / Doi, Toshiro et al. | 2011
- 1978
-
Variable-shaped e-beam lithography enabling process development for future copper damascene technologyJaschinsky, Philipp / Erben, Jens-Wolfram / Choi, Kang-Hoon / Schulze, Knut / Gutsch, Manuela / Blaschta, Frieder / Freitag, Martin / Schulz, Stefan E. / Steidel, Katja / Hohle, Christoph et al. | 2011
- 1982
-
Measurement of nonlinear mechanical properties of PDMS elastomerKim, Tae Kyung / Kim, Jeong Koo / Jeong, Ok Chan et al. | 2010
- 1986
-
Electrostatic clamping with an EUVL mask chuck: Particle issuesKalkowski, Gerhard / Zeuske, Jacob R. / Risse, Stefan / Müller, Sandra / Peschel, Thomas / Rohde, Mathias et al. | 2011
- 1992
-
High aspect ratio nano mold fabrication by advanced edge lithography without CVDSakamoto, J. / Nishino, T. / Kawata, H. / Yasuda, M. / Hirai, Y. et al. | 2011
- 1997
-
Low temperature direct imprint of polyhedral oligomeric silsesquioxane (POSS) resistKehagias, Nikolaos / Zelsmann, Marc / Chouiki, Mustapha / Francone, Achille / Reboud, Vincent / Schoeftner, Rainer / Torres, Clivia Sotomayor et al. | 2011
- 2000
-
Development of coherent scatterometry microscopeKinoshita, Hiroo / Harada, Tetsuo / Nakasuji, Masato / Nagata, Yutaka / Watanabe, Takeo et al. | 2011
- 2004
-
UV-nanoimprinting using non-transparent molds and non-transparent substratesKirchner, R. / Finn, A. / Teng, L. / Ploetner, M. / Jahn, A. / Nueske, L. / Fischer, W.-J. et al. | 2011
- 2009
-
MCC8: Throughput enhancement of EB direct writerKomami, Hideaki / Kurokawa, Masaki / Yamada, Akio et al. | 2011
- 2013
-
High density phase change data on flexible substrates by thermal curing type nanoimprint lithographyHong, Sung-Hoon / Jeong, Jun-Ho / Kim, Kang-In / Lee, Heon et al. | 2011
- 2017
-
Roller nanoimprint lithography for flexible electronic devices of a sub-micron scaleLim, HyungJun / Choi, Kee-Bong / Kim, GeeHong / Park, SooYeon / Ryu, JiHyeong / Lee, JaeJong et al. | 2011
- 2021
-
Development of a large area plate-to-plate type UV imprinting toolChoi, Wonho / Kang, Inkoo / Yim, Hong Jae / Lim, Si-Hyung et al. | 2011
- 2026
-
Direct imprinting on a polycarbonate substrate with a compressed air press for polarizer applicationsLin, Chun-Hung / Lin, Hsi-Ho / Chen, Wen-Yu / Cheng, Tsung-Chieh et al. | 2010
- 2030
-
Spray coating of PMMA for pattern transfer via electron beam lithography on surfaces with high topographyLinden, J. / Thanner, Ch. / Schaaf, B. / Wolff, S. / Lägel, B. / Oesterschulze, E. et al. | 2010
- 2033
-
Nanoimprint of ordered ferro/piezoelectric P(VDF-TrFE) nanostructuresFang, Jiang-Rong / Shen, Zhen-Kui / Yang, Shen / Lu, Qian / Li, Jinxing / Chen, Yi-Fang / Liu, Ran et al. | 2011
- 2037
-
Pattern transfer of nano-scale ferroelectric PZT gratings by a reversal nanoimprint lithographyLi, Zhi-Dong / Shen, Zhen-Kui / Hui, Wen-Yuan / Qiu, Zhi-Jun / Qu, Xin-Ping / Chen, Yi-Fang / Liu, Ran et al. | 2011
- 2041
-
Nanoscale control of domain arrangements in Pb(Zr0.3,Ti0.7)O3 ferroelectric filmsShen, Zhen-Kui / Lu, Qian / Chen, Zhi-Hui / Jiang, An-Quan / Qiu, Zhi-Jun / Qu, Xin-Ping / Chen, Yi-Fang / Liu, Ran et al. | 2010
- 2045
-
Roll-to-roll printed gratings in cellulose acetate web using novel nanoimprinting deviceMäkelä, Tapio / Haatainen, Tomi / Ahopelto, Jouni et al. | 2011
- 2048
-
Study and development of polymer destabilization by Capillary NILMasclaux, C. / Gourgon, C. / Perret, C. / Labau, S. et al. | 2011
- 2052
-
Roll-to-roll UV imprint lithography for flexible electronicsMaury, P. / Turkenburg, D. / Stroeks, N. / Giesen, P. / Barbu, I. / Meinders, E. / van Bremen, A. / Iosad, N. / van der Werf, R. / Onvlee, H. et al. | 2011
- 2056
-
Mechanistic study of lift-off for continuous metal layers after T-NILMayer, Andre / Möllenbeck, Saskia / Dhima, Khalid / Wang, Si / Scheer, Hella-Christin et al. | 2011
- 2059
-
Development of reel-to-reel process system for roller-imprint on plastic fibersMekaru, Harutaka / Ohtomo, Akihiro / Takagi, Hideki / Kokubo, Mitsunori / Goto, Hiroshi et al. | 2010
- 2063
-
Quantification and minimization of disorder caused by focused electron beam induced deposition of cobalt on grapheneMichalik, J.M. / Roddaro, S. / Casado, L. / Ibarra, M.R. / De Teresa, J.M. et al. | 2010
- 2066
-
Computational algorithms for optimizing mask layouts in proximity printingMotzek, K. / Vogler, U. / Hennemeyer, M. / Hornung, M. / Voelkel, R. / Erdmann, A. / Meliorisz, B. et al. | 2010
- 2070
-
Nanoimprint lithography from CHARPAN Tool exposed master stamps with 12.5nmhpMuehlberger, M. / Boehm, M. / Bergmair, I. / Chouiki, M. / Schoeftner, R. / Kreindl, G. / Kast, M. / Treiblmayr, D. / Glinsner, T. / Miller, R. et al. | 2011
- 2074
-
Seamless long line and space pattern fabrication for nanoimprint mold by using electron beam stepperOkada, Makoto / Kishiro, Takafumi / Ataka, Masashi / Anazawa, Norimichi / Matsui, Shinji et al. | 2011
- 2079
-
Evaluation of imprinting characteristics of photoinduced liquid crystalline polymerOkada, Makoto / Manabe, Sayaka / Kurita, Mami / Kondo, Mizuho / Haruyama, Yuichi / Kanda, Kazuhiro / Kawatsuki, Nobuhiro / Matsui, Shinji et al. | 2011
- 2084
-
Direct patterning on side chain crystalline polymer by thermal nanoimprinting using mold without antisticking layerOkada, Makoto / Nakano, Shinichi / Yamashita, Koji / Kawahara, Shinichiro / Matsui, Shinji et al. | 2011
- 2088
-
Ionic liquid ion sources as a unique and versatile option in FIB applicationsPerez-Martinez, Carla / Guilet, Stéphane / Gierak, Jacques / Lozano, Paulo et al. | 2010
- 2092
-
Digital spectrometer-on-chip fabricated by step and repeat nanoimprint lithography on pre-spin coated filmsPeroz, C. / Dhuey, S. / Goltsov, A. / Volger, M. / Harteneck, B. / Ivonin, I. / Bugrov, A. / Cabrini, S. / Babin, S. / Yankov, V. et al. | 2010
- 2096
-
Evaluation of a novolak based positive tone photoresist as NanoImprint Lithography resistPozzato, Alessandro / Grenci, Gianluca / Birarda, Giovanni / Tormen, Massimo et al. | 2011
- 2100
-
Silicon nanowires by combined nanoimprint and angle deposition for gas sensing applicationsGao, Chen / Xu, Zhen-Cheng / Deng, Shao-Ren / Wan, Jing / Chen, Yifang / Liu, Ran / Huq, Ejaz / Qu, Xin-Ping et al. | 2011
- 2105
-
Bossung curves and focus stigmation matrices for Gaussian beam lithographyRaghunathan, Ananthan / Hartley, John G. / Crosland, Nigel et al. | 2011
- 2109
-
Parallel scanning near-field photolithography in liquid: The SnomipedeLiu, Zhuming / ul-Haq, Ehtsham / Hobbs, Jamie K. / Leggett, Graham J. / Zhang, Yuan / Weaver, John M.R. / Roberts, Clive J. et al. | 2011
- 2113
-
Hybrid working stamps for high speed roll-to-roll nanoreplication with molded sol–gel relief on a metal backboneSchleunitz, Arne / Spreu, Christian / Mäkelä, Tapio / Haatainen, Tomi / Klukowska, Anna / Schift, Helmut et al. | 2011
- 2117
-
Spatial light modulation based 3D lithography with single scan virtual layeringSeo, Manseung / Kim, Haeryung et al. | 2011
- 2121
-
Modified imprinting process using hollow microneedle array for forming through holes in polymersShibata, Takayuki / Yukizono, Satoshi / Kawashima, Takahiro / Nagai, Moeto / Kubota, Toshio / Mita, Mamoru et al. | 2010
- 2126
-
Fabrication and characterization of e-beam photoresist array for biomimetic self-cleaning dry adhesivesTsai, Yao-Chuan / Wu, Ming-Dao / Shih, Wen-Pin et al. | 2011
- 2129
-
A mask-free fabrication of SU-8/silicon spherical microprobeTsai, Yao-Chuan / Wu, Ming-Dao / Shih, Wen-Pin et al. | 2010
- 2132
-
Study on delamination mechanism of SU-8 micropillars on a Si-substrate under bend loading by Weibull analysisTasaki, Toshikazu / Chang, Tso-Fu Mark / Ishiyama, Chiemi / Sone, Masato et al. | 2011
- 2135
-
Fabricating insertion structures for metallic wire grid polarizers by nanoimprint and CMP processChen, Chia-Meng / An, Tai-Pang / Hung, Yu-Min / Sung, Cheng-Kuo et al. | 2011
- 2141
-
Degradation of release layer on high aspect ratio mold by contact angle measurementTakahashi, Junki / Taniguchi, Jun et al. | 2010
- 2145
-
Electroforming of Ni mold for imprint lithography using high-aspect-ratio PMMA microstructures fabricated by proton beam writingTanabe, Yusuke / Nishikawa, Hiroyuki / Seki, Yoshihiro / Satoh, Takahiro / Ishii, Yasuyuki / Kamiya, Tomihiro / Watanabe, Tohru / Sekiguchi, Atsushi et al. | 2011
- 2149
-
Fabrication of the metal nano pattern on plastic substrate using roll nanoimprintUnno, Noriyuki / Taniguchi, Jun et al. | 2011
- 2154
-
Modeling and simulation of stamp deflections in nanoimprint lithography: Exploiting backside grooves to enhance residual layer thickness uniformityTaylor, Hayden / Smistrup, Kristian / Boning, Duane et al. | 2010
- 2158
-
Easy to adapt electron beam proximity effect correction parameter calibration based on visual inspection of a “Best Dose Sensor”Unal, Nezih / Charlton, Martin D.B. / Wang, Yudong / Waizmann, Ulrike / Reindl, Thomas / Hofmann, Ulrich et al. | 2011
- 2163
-
Dynamic deformation of a wafer above a lift hole and influence on flatness due to chuckingUne, Atsunobu / Yoshitomi, Kenichiro / Mochida, Masaaki et al. | 2011
- 2167
-
Mask line roughness contribution in EUV lithographyVaglio Pret, Alessandro / Gronheid, Roel et al. | 2011
- 2171
-
New lithographic requirements for the implant levels in scaled devicesVandeweyer, Tom / Baerts, Christina / Horiguchi, Naoto / Ercken, Monique et al. | 2010
- 2174
-
New inks for the direct drop-on-demand fabrication of polymer lensesVoigt, A. / Ostrzinski, U. / Pfeiffer, K. / Kim, J.Y. / Fakhfouri, V. / Brugger, J. / Gruetzner, G. et al. | 2010
- 2180
-
Design and fabrication of a slot aperture with softened edge based on gray-tone coding methodWu, Cuicui / Gao, Fuhua / Huang, Dequan / Yang, Zejian / Guo, Yongkang / Du, Jinglei / Zhang, Yixiao et al. | 2011
- 2184
-
Long range surface plasmon interference lithographyGuo, Xiaowei / Dong, Qiming et al. | 2011
- 2188
-
Computational study on polymer filling process in nanoimprint lithographyYasuda, Masaaki / Araki, Kosei / Taga, Akihiro / Horiba, Akira / Kawata, Hiroaki / Hirai, Yoshihiko et al. | 2011
- 2192
-
Fabrication of flexible mold for hybrid nanoimprint-soft lithographyZhang, Jian / Cui, Bo / Ge, Haixiong et al. | 2011
- 2196
-
Charging effect reduction in electron beam lithography with nA beam currentZhang, Jian / Fouad, Mina / Yavuz, Mustafa / Cui, Bo et al. | 2010
- 2200
-
Lens distortion for liquid renovation in immersion lithographyChen, Hui / Chen, Wenyu / Zou, Jun / Fu, Xin et al. | 2011
- 2205
-
Cryogenic bolometers for astronomical observations in the sub-mm rangeAnders, Solveig / May, Torsten / Zakosarenko, Vyatcheslav / Peiselt, Katja / Heinz, Erik / Starkloff, Michael / Zieger, Gabriel / Kreysa, Ernst / Siringo, Giorgio / Meyer, Hans-Georg et al. | 2010
- 2208
-
Piezoelectrically actuated MEMS microswitches for high current applicationsBalma, D. / Lamberti, A. / Marasso, S.L. / Perrone, D. / Quaglio, M. / Canavese, G. / Bianco, S. / Cocuzza, M. et al. | 2011
- 2211
-
Polymeric rolled-up microtubes by using strained semiconductor templatesBlasi, L. / Todaro, M.T. / Cingolani, R. / Passaseo, A. / De Vittorio, M. / Gigli, G. et al. | 2011
- 2214
-
Fabrication and characterization of a directional anemometer based on a single chip MEMS flow sensorPiotto, M. / Pennelli, G. / Bruschi, P. et al. | 2010
- 2218
-
Improved micromachining of all SU-8 3D structures for a biologically-inspired flying robotBao, X.Q. / Dargent, T. / Grondel, S. / Paquet, J.B. / Cattan, E. et al. | 2011
- 2225
-
Void-free micro-pattern of nickel fabricated by electroplating with supercritical carbon dioxide emulsionChang, Tso-Fu Mark / Tasaki, Toshikazu / Ishiyama, Chiemi / Sone, Masato et al. | 2011
- 2229
-
Nano planar coil actuated micro paddle resonator for mass detectionCharandabi, S.Chitsaz / Prewett, P.D. / Hamlett, C.A. / Anthony, C.J. / Preece, J.A. et al. | 2011
- 2233
-
Fine control of critical dimension for the fabrication of large bandgap high frequency photonic and phononic crystalsCuffe, J. / Dudek, D. / Kehagias, N. / Chapuis, P.-O. / Reboud, V. / Alsina, F. / McInerney, J.G. / Sotomayor Torres, C.M. et al. | 2010
- 2236
-
A large area bimaterial sheet of piezoelectric nanogenerators for energy harvesting: Effect of RF sputtering on ZnO nanorodChang, Chun-Jie / Lee, Yi-Huan / Dai, Chi-An / Hsiao, Chih-Chung / Chen, Shuh-Heng / Nurmalasari, Ni Putu Dewi / Chen, Jyh-Chien / Cheng, Yao-Yi / Shih, Wen-Pin / Chang, Pei-Zen et al. | 2010
- 2242
-
Capacitive RF switches manufactured by the CMOS–MEMS techniqueYang, Ming-Zhi / Dai, Ching-Liang / Shih, Po-Jen / Tsai, Zung-You et al. | 2010
- 2247
-
Fabrication of metallic nanocones by induced deposition of etch masks and ion millingFleischer, M. / Weber-Bargioni, A. / Cabrini, S. / Kern, D.P. et al. | 2011
- 2251
-
Preliminary study on development of PVDF nanofiber based energy harvesting device for an artery microrobotLiu, Weiting / Cheng, Xiaoying / Fu, Xin / Stefanini, Cesare / Dario, Paolo et al. | 2011
- 2255
-
Analysis on heat resistance of the micro heat pipe with arteriesLiu, Weiting / Kang, Jian / Fu, Xin / Stefanini, Cesare / Dario, Paolo et al. | 2011
- 2259
-
High aspect ratio nanostructuring by high energy electrons and electroplatingGorelick, Sergey / Vila-Comamala, Joan / Guzenko, Vitaliy A. / David, Christian et al. | 2011
- 2263
-
Development of magnetic nanoactuator systemsHartbaum, J. / Jakobs, P. / Leiste, H. / Kohl, M. et al. | 2011
- 2267
-
Surface-micromachined thermoelectric infrared focal-plane array with high detectivity for room temperature operationIhring, Andreas / Kessler, Ernst / Dillner, Ulrich / Haenschke, Frank / Schinkel, Uwe / Meyer, Hans-Georg et al. | 2011
- 2272
-
Effects of supercritical carbon dioxide treatment on bending properties of micro-sized SU-8 SpecimensIshiyama, Chiemi / Mark Chang, Tso-Fu / Sone, Masato et al. | 2011
- 2275
-
Low-temperature wafer bonding for MEMS packaging utilizing screen-printed sub-micron size Au particle patternsIshizuka, S. / Akiyama, N. / Ogashiwa, T. / Nishimori, T. / Ishida, H. / Shoji, S. / Mizuno, J. et al. | 2011
- 2278
-
Capacitive micro-fabricated ultrasonic transducers for biometric applicationsIula, Antonio / Savoia, Alessandro / Caliano, Giosuè et al. | 2010
- 2281
-
PDMS surface modification using atmospheric pressure plasmaKim, Hui Taek / Jeong, Ok Chan et al. | 2011
- 2286
-
Controlling the size of replicable polydimethylsiloxane (PDMS) molds/stamps using a stepwise thermal shrinkage processJeong, Ok Chan / Konishi, Satoshi et al. | 2010
- 2290
-
Nanofin based filaments for sensor applicationsKallis, Klaus T. / Keller, Lars O. / Küchenmeister, Christian / Horstmann, John T. / Knoch, J. / Fiedler, Horst L. et al. | 2011
- 2294
-
Fabrication of biopolymer cantilevers using nanoimprint lithographyKeller, Stephan S. / Feidenhans’l, Nikolaj / Fisker-Bødker, Nis / Soulat, Damien / Greve, Anders / Plackett, David V. / Boisen, Anja et al. | 2011
- 2297
-
Deposition of biopolymer films on micromechanical sensorsKeller, Stephan S. / Gammelgaard, Lene / Jensen, Marie P. / Schmid, Silvan / Davis, Zachary J. / Boisen, Anja et al. | 2011
- 2300
-
Fabrication of resonant micro cantilevers with integrated transparent fluidic channelKhan, M.F. / Schmid, S. / Davis, Z.J. / Dohn, S. / Boisen, A. et al. | 2011
- 2304
-
Embedding carbon nanotube–epoxy resin complex into porous alumina for efficiently heat-sinked saturable absorbersKurashima, Yuichi / Mimura, Koji / Hagiwara, Shinsaku / Itoga, Emiko / Kataura, Hiromichi / Sakakibara, Youichi et al. | 2011
- 2308
-
Microfluidic sealing and housing system for innovative dye-sensitized solar cell architectureLamberti, A. / Sacco, A. / Bianco, S. / Giuri, E. / Quaglio, M. / Chiodoni, A. / Tresso, E. et al. | 2010
- 2311
-
Fabrication and characterization of SRN/SU-8 bimorph cantilevers for temperature sensingLarsen, Tom / Keller, Stephan / Schmid, Silvan / Dohn, Søren / Boisen, Anja et al. | 2010
- 2314
-
Efficiency improvement of solar cells by importing microdome-shaped anti-reflective structures as a surface protection layerNam, Minwoo et al. | 2011