Surface morphologies in polymers by irradiation with argon ions and consecutive swelling (Englisch)
- Neue Suche nach: Büscher, Karsten
- Neue Suche nach: Berger, Rüdiger
- Neue Suche nach: Brünger, Wilhelm
- Neue Suche nach: Graf, Karlheinz
- Neue Suche nach: Büscher, Karsten
- Neue Suche nach: Berger, Rüdiger
- Neue Suche nach: Brünger, Wilhelm
- Neue Suche nach: Graf, Karlheinz
In:
Microelectronic Engineering
;
83
, 4-9
;
819-822
;
2006
-
ISSN:
- Aufsatz (Zeitschrift) / Elektronische Ressource
-
Titel:Surface morphologies in polymers by irradiation with argon ions and consecutive swelling
-
Beteiligte:Büscher, Karsten ( Autor:in ) / Berger, Rüdiger ( Autor:in ) / Brünger, Wilhelm ( Autor:in ) / Graf, Karlheinz ( Autor:in )
-
Erschienen in:Microelectronic Engineering ; 83, 4-9 ; 819-822
-
Verlag:
- Neue Suche nach: Elsevier B.V.
-
Erscheinungsdatum:01.01.2006
-
Format / Umfang:4 pages
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
Inhaltsverzeichnis – Band 83, Ausgabe 4-9
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 589
-
PrefaceLoeschner, Hans et al. | 2006
- 590
-
MNE 2005 Committees| 2006
- 594
-
History of the Vienna HofburgStraub, Walter et al. | 2006
- 597
-
Analysis of works of art down to the nanometric scaleMenu, Michel et al. | 2006
- 604
-
The ending of optical lithography and the prospects of its successorsLin, Burn J. et al. | 2006
- 614
-
Cost of ownership – projecting the futureTrybula, Walt et al. | 2006
- 619
-
Nanoelectronics beyond siliconHoenlein, Wolfgang / Duesberg, Georg S. / Graham, Andrew P. / Kreupl, Franz / Liebau, Maik / Pamler, Werner / Seidel, Robert / Unger, Eugen et al. | 2006
- 624
-
Present and future of 193nm lithographyZell, Th. et al. | 2006
- 634
-
Novel metrology methods for image quality controlEbihara, Takeaki / Shiode, Yoshihiro / Yoshikawa, Takashi / Ayata, Naoki et al. | 2005
- 640
-
Practical development and implementation of 193nm immersion lithographyMcCallum, Martin / Kameyama, Masaomi / Owa, Soichi et al. | 2006
- 643
-
Characterization of stray light of ArF lithographic tools: Modeling of power spectral density of an optical pupilKim, Young-Chang / Bisschop, Peter De / Vandenberghe, Geert et al. | 2006
- 647
-
Back-side alignment strategy decouples process from alignment and achieves leading edge overlay performanceBijnen, F.G.C. / Buel, W.V. / Young, M. / Zhou, X. / Gooch, C. et al. | 2006
- 651
-
A study of 193-nm immersion lithography using novel high refractive index fluidsSantillan, Julius / Otoguro, Akihiko / Itani, Toshiro / Fujii, Kiyoshi / Kagayama, Akifumi / Nakano, Takashi / Nakayama, Norio / Tamatani, Hiroaki / Fukuda, Shin et al. | 2006
- 655
-
Removal mechanism of nano-bubble with AFM for immersion lithographyKawai, Akira / Suzuki, Kenta et al. | 2006
- 659
-
Adhesion improvement of ArF resist pattern depending on BARC materialKawai, Akira / Moriuchi, Takahiro / Niiyama, Takayoshi / Kishioka, Takahiro / Maruyama, Daisuke / Sakaida, Yasushi / Matsumoto, Takashi et al. | 2006
- 663
-
Resonantly enhanced addressing of a spatial light modulator micro-mirror arrayLjungblad, Ulric / Lock, Tomas / Sandstrom, Tor et al. | 2006
- 667
-
From hyper NA to low NAMcCallum, Martin / Fuller, Gene / Owa, Soichi et al. | 2006
- 672
-
Exposing extreme ultraviolet lithography at IntelRoberts, Jeanette / Bacuita, Terence / Bristol, Robert L. / Cao, Heidi / Chandhok, Manish / Lee, Sang H. / Leeson, Michael / Liang, Ted / Panning, Eric / Rice, Bryan J. et al. | 2006
- 676
-
The role of ambient hydrocarbon species to reduce oxidation in Ru capping layers for EUVL optics mirrorsGomei, Yoshio / Kakutani, Y. / Takase, H. / Niibe, M. / Terashima, S. / Aoki, T. / Matsunari, S. et al. | 2006
- 680
-
A new approach for actinic defect inspection of EUVL multilayer mask blanks: Standing wave photoemission electron microscopyNeuhäusler, U. / Lin, J. / Oelsner, A. / Schicketanz, M. / Valdaitsev, D. / Slieh, J. / Weber, N. / Brzeska, M. / Wonisch, A. / Westerwalbesloh, T. et al. | 2006
- 684
-
EUV phase mask engineering based on image optimisationNugrowati, A.M. / van de Nes, A.S. / Pereira, S.F. / Braat, J.J.M. et al. | 2006
- 688
-
Characterization of Ru layer for capping/buffer application in EUVL maskKim, Tae Geun / Lee, Seung Yoon / Kim, Chung Yong / Park, In-Sung / Kang, In-Yong / Lee, Nae-Eung / Chung, Yong-Chae / Ahn, Jinho et al. | 2006
- 692
-
Interface-engineered EUV multilayer mirrorsYulin, Sergiy / Benoit, Nicolas / Feigl, Torsten / Kaiser, Norbert et al. | 2006
- 695
-
Employing a detailed compositional analysis to develop a low defect Mo/Si deposition tool and process for EUVL mask blanksMa, Andy / Randive, Rajul / Kearney, Patrick / Han, San-In / Seo, Soon-Cheon / Uno, Toshiyuki / Krick, Dave / Mirkarimi, Paul / Spiller, Eberhard et al. | 2006
- 699
-
Tin based laser-produced plasma source development for EUVLHayden, P. / White, J. / Cummings, A. / Dunne, P. / Lysaght, M. / Murphy, N. / Sheridan, P. / O’Sullivan, G. et al. | 2006
- 703
-
EUV multilayer opticsFeigl, Torsten / Yulin, Sergiy / Benoit, Nicolas / Kaiser, Norbert et al. | 2006
- 707
-
The EUV metrology program of PTBKlein, R. / Laubis, C. / Müller, R. / Scholze, F. / Ulm, G. et al. | 2006
- 710
-
Performance of gas jet type Z-pinch plasma light source for EUV lithographySong, Inho / Kobayashi, Yasunori / Sakamoto, Toshiro / Mohanty, Smruti R. / Watanabe, Masato / Okino, Akitoshi / Kawamura, Toru / Yasuoka, Koichi / Horioka, Kazuhiko / Hotta, Eiki et al. | 2006
- 714
-
Electrostatic chuck for EUV masksKalkowski, Gerhard / Risse, Stefan / Müller, Sandra / Harnisch, Gerd et al. | 2006
- 718
-
A new mask blank deposition toolDienelt, J. / Neumann, H. / Kramer, M. / Scholze, F. / Rauschenbach, B. / Nestler, M. / Tarraf, A. / Schulze, M. et al. | 2006
- 723
-
Super-resolution near-field lithography using planar silver lenses: A review of recent developmentsBlaikie, Richard J. / Melville, David O.S. / Alkaisi, Maan M. et al. | 2006
- 730
-
Double line shrink lithography at k1=0.16Noelscher, Christoph / Heller, Marcel / Habets, Boris / Markert, Matthias / Scheler, Uli / Moll, Peter et al. | 2006
- 734
-
Flying phase mask for the printing of long submicron-period stitchingless gratingsGamet, E. / Jourlin, Y. / Pelissier, S. / Min, R. / Reynaud, S. / Veillas, C. / Pommier, J.-C. / Parriaux, O. et al. | 2006
- 738
-
Present status and future prospects of LEEPLUtsumi, Takao et al. | 2006
- 749
-
Transitioning of direct e-beam write technology from research and development into production flowPain, L. / Icard, B. / Manakli, S. / Todeschini, J. / Minghetti, B. / Wang, V. / Henry, D. et al. | 2006
- 754
-
Hybrid lithography: The marriage between optical and e-beam lithography. A method to study process integration and device performance for advanced device nodesSteen, Steven / McNab, Sharee J. / Sekaric, Lidija / Babich, Inna / Patel, Jyotica / Bucchignano, Jim / Rooks, Michael / Fried, David M. / Topol, Anna W. / Brancaccio, Jim R. et al. | 2006
- 762
-
Nanoscale imaging with a portable field emission scanning electron microscopeKhursheed, Anjam / Nelliyan, Karuppiah / Ding, Yu et al. | 2006
- 767
-
Three dimensional HSQ structures formed using multiple low energy electron beam lithographyBoyd, Euan J. / Blaikie, Richard J. et al. | 2006
- 771
-
Multibeam electron source for nanofabrication using electron beam induced depositionvan Bruggen, M.J. / van Someren, B. / Kruit, P. et al. | 2006
- 776
-
Optimisation of HSQ e-beam lithography for the patterning of FinFET transistorsFruleux-Cornu, Frédérique / Penaud, Julien / Dubois, Emmanuel / François, Marc / Muller, Michel et al. | 2006
- 780
-
Exposure optimization in high-resolution e-beam lithographyHudek, Peter / Beyer, Dirk et al. | 2006
- 784
-
Direct-write deposition with a focused electron beamFischer, M. / Wanzenboeck, H.D. / Gottsbachner, J. / Müller, S. / Brezna, W. / Schramboeck, M. / Bertagnolli, E. et al. | 2006
- 788
-
Low-energy electron-beam lithography of hydrogen silsesquioxaneYang, Haifang / Jin, Aizi / Luo, Qiang / Gu, Changzhi / Cui, Zheng / Chen, Yifang et al. | 2006
- 792
-
Possibility to form an ultrahigh packed fine pit and dot arrays for future storage using EB writingHosaka, Sumio / Sano, Hirotaka / Itoh, Kazuo / Sone, Hayato et al. | 2006
- 796
-
Ion beam imprinting system for nanofabricationJi, Qing / Chen, Ye / Ji, Lili / Jiang, Ximan / Leung, Ka-Ngo et al. | 2006
- 800
-
Investigation of FIB assisted CoSi2 nanowire growthBischoff, L. / Schmidt, B. / Akhmadaliev, Ch. / Mücklich, A. et al. | 2006
- 804
-
Axicon lens on optical fiber forming optical tweezers, made by focused ion beam millingCabrini, S. / Liberale, C. / Cojoc, D. / Carpentiero, A. / Prasciolu, M. / Mora, S. / Degiorgio, V. / De Angelis, F. / Di Fabrizio, E. et al. | 2006
- 808
-
Mechanical characteristics of tungsten-containing carbon nanosprings grown by FIB-CVDNakamatsu, K. / Igaki, J. / Nagase, M. / Ichihashi, T. / Matsui, S. et al. | 2006
- 811
-
Towards the creation of quantum dots using FIB technologyKitslaar, P. / Strassner, M. / Sagnes, I. / Bourhis, E. / Lafosse, X. / Ulysse, C. / David, C. / Jede, R. / Bruchhaus, L. / Gierak, J. et al. | 2006
- 815
-
Limitations to low-voltage focused ion beam operationRauscher, Michael / Marianowski, Karin / Degel, Bernhard / Plies, Erich et al. | 2006
- 819
-
Surface morphologies in polymers by irradiation with argon ions and consecutive swellingBüscher, Karsten / Berger, Rüdiger / Brünger, Wilhelm / Graf, Karlheinz et al. | 2006
- 823
-
Patterning nanoscale features using the 2-step NERIME nanolithography processGilmartin, S.F. / Arshak, K. / Collins, D. / Korostynska, O. / Arshak, A. et al. | 2006
- 827
-
Status and prospects of UV-Nanoimprint technologyBender, M. / Fuchs, A. / Plachetka, U. / Kurz, H. et al. | 2006
- 831
-
Nanoimprinted devices for integrated organic electronicsLeising, Günther / Stadlober, Barbara / Haas, Ursula / Haase, Anja / Palfinger, Christian / Gold, Herbert / Jakopic, Georg et al. | 2006
- 839
-
Direct pattern transfer for sub-45nm features using nanoimprint lithographyLe, Ngoc V. / Dauksher, William J. / Gehoski, Kathy A. / Nordquist, Kevin J. / Ainley, Eric / Mangat, Pawitter et al. | 2006
- 843
-
Profile evolution during thermal nanoimprintScheer, H.-C. / Bogdanski, N. / Wissen, M. / Konishi, T. / Hirai, Y. et al. | 2006
- 847
-
Minimization of residual layer thickness by using the optimized dispensing method in S-FILTM processKim, Ki-don / Jeong, Jun-ho / Sim, Young-suk / Lee, Eung-sug et al. | 2006
- 851
-
AFM characterization of anti-sticking layers used in nanoimprintTallal, J. / Gordon, M. / Berton, K. / Charley, A.L. / Peyrade, D. et al. | 2006
- 855
-
Surface structuring of textile fibers using roll embossingSchift, Helmut / Halbeisen, Marcel / Schütz, Urs / Delahoche, Benjamin / Vogelsang, Konrad / Gobrecht, Jens et al. | 2006
- 859
-
Optical heating for short hot embossing cycle timesSeunarine, K. / Gadegaard, N. / Riehle, M.O. / Wilkinson, C.D.W. et al. | 2006
- 864
-
Characterization of imprinting polymeric temperature variation with fluorescent Rhodamine B moleculeKo, Fu-Hsiang / Weng, Li-Yu / Ko, Chu-Jung / Chu, Tieh-Chi et al. | 2006
- 869
-
Multi-layered resist process in nanoimprint lithography for high aspect ratio patternKonishi, Takaaki / Kikuta, Hisao / Kawata, Hiroaki / Hirai, Yoshihiko et al. | 2006
- 873
-
Perforated polymer membranes fabricated by nanoimprintSchift, Helmut / Bellini, Sandro / Gobrecht, Jens et al. | 2006
- 876
-
Fabrication of multi-layered nano-channels by reversal imprint lithographyNakajima, Masaki / Yoshikawa, Takashi / Sogo, Kenji / Hirai, Yoshihiko et al. | 2006
- 880
-
Viscous flow simulation in nanoimprint using coarse-grain methodSirotkin, V. / Svintsov, A. / Zaitsev, S. / Schift, H. et al. | 2006
- 884
-
Superhydrophobic surfaces fabricated by nanoimprint lithographyPozzato, Alessandro / Zilio, Simone Dal / Fois, Giovanni / Vendramin, Diego / Mistura, Giampaolo / Belotti, Michele / Chen, Yong / Natali, Marco et al. | 2006
- 889
-
Novel hybrid mask mold for combined nanoimprint and photolithography techniqueMoon, Kanghun / Choi, Banglim / Park, In-Sung / Hong, Sunghun / Yang, Kihyun / Lee, Heon / Ahn, Jinho et al. | 2006
- 893
-
Directly patterning metal films by nanoimprint lithography with low-temperature and low-pressureChen, H.L. / Chuang, S.Y. / Cheng, H.C. / Lin, C.H. / Chu, T.C. et al. | 2006
- 897
-
Linear optical encoders manufactured by imprint lithographyMerino, S. / Retolaza, A. / Lizuain, I. et al. | 2006
- 902
-
Pattern replication of 100nm to millimeter-scale features by thermal nanoimprint lithographyCui, Bo / Veres, Teodor et al. | 2006
- 906
-
Polyimide nanostructures fabricated by nanoimprint lithography and its applicationsCui, Bo / Cortot, Yann / Veres, Teodor et al. | 2006
- 910
-
Multi-colour micro-contact printing based on microfluidic network inkingCrozatier, C. / Berre, M. Le / Chen, Y. et al. | 2006
- 914
-
Methods to reduce lithography costs with reticle engineeringMackay, R. Scott / Kamberian, Henry / Zhang, Yuan et al. | 2006
- 919
-
Polarization effects in plasmonic masksSchellenberg, Frank / Adam, Kostas / Sun, Liying / Matteo, Joe / Hesselink, Lambertus et al. | 2006
- 923
-
Membrane mask aero and thermoelastic control for proximity lithographyHuston, Dryver / Plumpton, James / Esser, Brian / Burns, Dylan / Boerger, Brent / Selzer, Robert et al. | 2006
- 926
-
Fabrication and characterisation of nanoscale programmed defects for EUV lithographyTiron, Raluca / Nadaï, Celine De / Constancias, Christophe / Robic, Jean-Yves / Gouy, Jean-Philippe et al. | 2006
- 929
-
Nano-imprint lithography: Templates, imprinting and wafer pattern transferDauksher, W.J. / Le, N.V. / Ainley, E.S. / Nordquist, K.J. / Gehoski, K.A. / Young, S.R. / Baker, J.H. / Convey, D. / Mangat, P.S. et al. | 2006
- 933
-
Current overview of commercially available imprint templates and directions for future developmentMaltabes, John G. / Mackay, R. Scott et al. | 2006
- 936
-
Simulation of ion beam direct structuring for 3D nanoimprint template fabricationPlatzgummer, E. / Biedermann, A. / Langfischer, H. / Eder-Kapl, S. / Kuemmel, M. / Cernusca, S. / Loeschner, H. / Lehrer, C. / Frey, L. / Lugstein, A. et al. | 2006
- 940
-
Rapid and three-dimensional nanoimprint template fabrication technology using focused ion beam lithographyTaniguchi, Jun / Koga, Kentaro / Kogo, Yasuo / Miyamoto, Iwao et al. | 2006
- 944
-
Comparison of multilayer stamp concepts in UV–NILPlachetka, Ulrich / Bender, Markus / Fuchs, Andreas / Wahlbrink, Thorsten / Glinsner, Thomas / Kurz, Heinrich et al. | 2006
- 948
-
Nickel stamp fabrication using step & stamp imprint lithographyHaatainen, Tomi / Majander, Päivi / Riekkinen, Tommi / Ahopelto, Jouni et al. | 2006
- 951
-
Status and future of maskless lithographyHenry, Daniel / Gemmink, Jan Willem / Pain, Laurent / Postnikov, Sergei V. et al. | 2006
- 956
-
Zone-plate-array lithography: A low-cost complement or competitor to scanning-electron-beam lithographySmith, Henry I. / Menon, Rajesh / Patel, Amil / Chao, David / Walsh, Michael / Barbastathis, G. et al. | 2006
- 962
-
Low energy electron beam microcolumn lithographyKim, Ho Seob / Kim, Young Chul / Kim, Dae-Wook / Ahn, Seung Joon / Jang, Yong / Kim, Hyung Woo / Seong, Do Jin / Park, Kyoung Wan / Park, Seong Soon / Kim, Byung Jin et al. | 2006
- 968
-
Projection mask-less lithography (PML2): First results from the multi beam blanking demonstratorEder-Kapl, Stefan / Haugeneder, Ernst / Langfischer, Helmut / Reimer, Klaus / Eichholz, Joerg / Witt, Martin / Doering, Hans-Joachim / Heinitz, Joachim / Brandstaetter, Christoph et al. | 2006
- 972
-
Lossless high-speed data compression for optical interconnects as used in maskless lithography systemsVoss, Sven-Hendrik / Talmi, Maati et al. | 2006
- 976
-
High-speed data storage and processing for projection mask-less lithography systemsVoss, Sven-Hendrik / Talmi, Maati / Saniter, Juergen / Eindorf, Juergen / Reisig, Alexander / Heinitz, Joachim / Haugeneder, Ernst et al. | 2006
- 980
-
Assembly of an aperture plate system for projection mask-less lithographyMohaupt, M. / Eberhardt, R. / Damm, C. / Peschel, T. / Tünnermann, A. / Haugeneder, E. / Döring, H.-J. / Brandstätter, C. et al. | 2006
- 984
-
A manufacturable miniature electron beam columnSpallas, James P. / Silver, Charles S. / Muray, Lawrence P. / Wells, Torquil / El-Gomati, Mohamed et al. | 2006
- 990
-
Advances in microchannel amplifiers for maskless lithographyTremsin, Anton S. / Beaulieu, David R. / Lockwood, Harry F. et al. | 2006
- 994
-
Simulation software for designing electron and ion beam equipmentMunro, E. / Rouse, J. / Liu, H. / Wang, L. / Zhu, X. et al. | 2006
- 1003
-
Lithographic manufacturing robustness analysis for as drawn patternsMelvin, Lawrence S. III / Yan, Qiliang / Kielhorn, William F. et al. | 2006
- 1008
-
A fast development simulation algorithm for discrete resist modelsSchnattinger, Thomas / Bär, Eberhard / Erdmann, Andreas et al. | 2006
- 1012
-
Simulation of DOE fabrication using DMD-based gray-tone lithographyGuo, Xiaowei / Du, Jinglei / Guo, Yongkang / Du, Chunlei / Cui, Zheng / Yao, Jun et al. | 2006
- 1017
-
Critical failure ORC: Improving model accuracy through enhanced model generationBorjon, Amandine / Belledent, Jérôme / Trouiller, Yorick / Patterson, Kyle / Lucas, Kevin / Gardin, Christian / Couderc, Christophe / Rody, Yves / Sundermann, Frank / Urbani, Jean-Christophe et al. | 2006
- 1023
-
The limits of CD metrologyRice, Bryan J. / Cao, Heidi / Grumski, Michael / Roberts, Jeanette et al. | 2006
- 1030
-
Direct, in-scanner, aerial image sensingFabian Pease, R. et al. | 2006
- 1036
-
Mechanical characterization of membrane like microelectronic componentsDržı́k, M. / Löschner, H. / Haugeneder, E. / Fallman, W. / Hudek, P. / Rangelow, I.W. / Sarov, Y. / Lalinský, T. / Chlpı́k, J. et al. | 2006
- 1043
-
Non-destructive high-resolution X-ray imaging of ULSI micro-electronics using keV X-ray microscopy in Zernike phase contrastNeuhäusler, Ulrich / Schneider, Gerd et al. | 2006
- 1047
-
Extended bidirectional reflectance distribution function for subsurface defects scatteringShen, Jian / Liu, Shijie / Kong, Weijin / Shen, Zicai / Shao, Jianda / Yao, Jun et al. | 2006
- 1051
-
Nanometre scale overlay and stitch metrology using an optical microscopeMacintyre, D.S. / Thoms, S. et al. | 2006
- 1055
-
Nanoscopic versus macroscopic C–V characterization of high-κ metal-oxide chemical vapor deposition ZrO2 thin filmsAbermann, S. / Brezna, W. / Smoliner, J. / Bertagnolli, E. et al. | 2006
- 1058
-
Miniaturized electron gun for high-resolution scanning electron microscope using non-evaporable getter pumpsKatagiri, Souichi / Ohshima, Takashi et al. | 2006
- 1062
-
Near field analysis for periodic diffractive gratings using Fourier modal methodGao, Fuhua / Wang, Chengcheng / Tang, Xionggui / Ma, Chi / Cui, Zheng / Guo, Yongkang et al. | 2006
- 1067
-
A review of line edge roughness and surface nanotexture resulting from patterning processesGogolides, Evangelos / Constantoudis, Vassilios / Patsis, George P. / Tserepi, Angeliki et al. | 2006
- 1073
-
Thickness-dependent glass transition temperature of thin resist films for high resolution lithographyMarceau, S. / Tortai, J.-H. / Tillier, J. / Vourdas, N. / Gogolides, E. / Raptis, I. / Beltsios, K. / van Werden, K. et al. | 2006
- 1078
-
Effects of model polymer chain architectures and molecular weight of conventional and chemically amplified photoresists on line-edge roughness. Stochastic simulationsPatsis, George P. / Gogolides, Evangelos et al. | 2006
- 1082
-
Resist parameter sensitivity analysis based on calibrated simulation for understanding resist limitations in next generation lithographyElian, K. / Ruppenstein, B. / Noelscher, C. / Heubner, A. / Muelders, T. et al. | 2006
- 1087
-
Study of mechanisms involved in photoresist microlens formationAudran, S. / Faure, B. / Mortini, B. / Regolini, J. / Schlatter, G. / Hadziioannou, G. et al. | 2006
- 1091
-
Development of new resist materials for 193-nm dry and immersion lithographyTakebe, Yoko / Sasaki, Takashi / Shirota, Naoko / Yokokoji, Osamu et al. | 2006
- 1094
-
Through pitch contact hole imaging for the 65nm nodeKuijten, Jan Pieter / Conley, Will / Verhappen, Arjan / Chaplin, Martin / Socha, Robert / Litt, Lloyd / Warwick, Scott et al. | 2006
- 1098
-
Investigating 248 and 193nm resist degradation during reactive ion oxide etchingMay, Michael J. / Mortini, Bénédicte / Heitzmann, Michel / Gautier, Pauline / Sourd, Claire / Brochon, Cyril / Hadziioannou, Georges et al. | 2006
- 1103
-
Characterization of extreme ultraviolet resists with interference lithographyGronheid, Roel / Solak, Harun H. / Ekinci, Yasin / Jouve, Amandine / Van Roey, Frieda et al. | 2006
- 1107
-
Performance of molecular resist based on polyphenol in EUV lithographyOizumi, Hiroaki / Kumasaka, Fumiaki / Tanaka, Yuusuke / Hirayama, Taku / Shiono, Daiju / Hada, Hideo / Onodera, Junichi / Yamaguchi, Atsuko / Nishiyama, Iwao et al. | 2006
- 1111
-
EUV resist simulation with rigorous mask computation and simplified resist modelsJouve, A. / Fuard, D. / Farys, V. et al. | 2006
- 1115
-
Chemically amplified molecular resists for electron beam lithographyRobinson, A.P.G. / Zaid, H.M. / Gibbons, F.P. / Palmer, R.E. / Manickam, M. / Preece, J.A. / Brainard, R. / Zampini, T. / O’Connell, K. et al. | 2006
- 1119
-
Effects of developing conditions on the contrast and sensitivity of hydrogen silsesquioxaneChen, Yifang / Yang, Haifang / Cui, Zheng et al. | 2006
- 1124
-
Supercritical drying process for high aspect-ratio HSQ nano-structuresWahlbrink, T. / Küpper, Daniel / Georgiev, Y.M. / Bolten, J. / Möller, M. / Küpper, David / Lemme, M.C. / Kurz, H. et al. | 2006
- 1128
-
High resolution e-beam lithography using a thin titanium layer to promote resist adhesionMacintyre, D.S. / Young, I. / Glidle, A. / Cao, X. / Weaver, J.M.R. / Thoms, S. et al. | 2006
- 1132
-
Fabricating and characterizing oblique polymer structures by electron beam writing on resist-coated SiO2 wafersKo, Fu-Hsiang / Chen, Jem-Kun / Chang, Feng-Chih et al. | 2006
- 1138
-
Fabrication of photonic crystal structures in polymer waveguide materialHuebner, Uwe / Boucher, R. / Morgenroth, W. / Schmidt, M. / Eich, M. et al. | 2006
- 1142
-
Benchmark of a lithography simulation tool for next generation applicationsTollkühn, B. / Uhle, M. / Fuhrmann, J. / Gärtner, K. / Heubner, A. / Erdmann, A. et al. | 2006
- 1148
-
Electron-beam lithography simulation for the fabrication of EUV masksPatsis, George P. / Tsikrikas, Nikos / Raptis, Ioanis / Glezos, Nikos et al. | 2006
- 1152
-
30nm Tungsten gates etched by a low damage ICP etching for the fabrication of compound semiconductor transistorsLi, X. / Cao, X. / Zhou, H. / Wilkinson, C.D.W. / Thoms, S. / Macintyre, D. / Holland, M. / Thayne, I.G. et al. | 2006
- 1155
-
Reactive ion etching of glass for biochip applications: Composition effects and surface damagesThiénot, Edouard / Domingo, Florian / Cambril, Edmond / Gosse, Charlie et al. | 2006
- 1159
-
A low damage RIE process for the fabrication of compound semiconductor based transistors with sub-100nm tungsten gatesLi, X. / Cao, X. / Zhou, H. / Wilkinson, C.D.W. / Thoms, S. / Macintyre, D. / Holland, M. / Thayne, I.G. et al. | 2006
- 1163
-
Deeply etched waveguide structures for quantum cascade lasersSchartner, S. / Golka, S. / Pflügl, C. / Schrenk, W. / Strasser, G. et al. | 2006
- 1167
-
Condensation mechanism of microbubbles depending on DFR pattern designKawai, Akira / Endo, Hotaka / Ariga, Tomotaka et al. | 2006
- 1170
-
Silicon etch process options for micro- and nanotechnology using inductively coupled plasmasWelch, C.C. / Goodyear, A.L. / Wahlbrink, T. / Lemme, M.C. / Mollenhauer, T. et al. | 2006
- 1174
-
Aspect ratio dependent plasma polymer deposition of fluorocarbonsVolland, B.E. / Rangelow, I.W. et al. | 2006
- 1178
-
Deep etching of biocompatible silicone rubberSzmigiel, Dariusz / Domański, Krzysztof / Prokaryn, Piotr / Grabiec, Piotr et al. | 2006
- 1182
-
Fabrication of a tensile test for polymer micromechanicsLang, Udo / Reichen, Marcel / Dual, Jürg et al. | 2006
- 1185
-
Polyimide sacrificial layer for SOI SG-MOSFET pressure sensorFernández-Bolaños, M. / Abelé, N. / Pott, V. / Bouvet, D. / Racine, G-A. / Quero, J.M. / Ionescu, A.M. et al. | 2006
- 1189
-
Alternative micro-hotplate design for low power sensor arraysTriantafyllopoulou, R. / Chatzandroulis, S. / Tsamis, C. / Tserepi, A. et al. | 2006
- 1192
-
A lithographic polymer process sequence for chemical sensing arraysKitsara, Maria / Goustouridis, Dimitrios / Chatzandroulis, Stavros / Beltsios, Konstantinos / Raptis, Ioannis et al. | 2006
- 1197
-
A novel optical gas sensor based on sputtered InxOyNz films with gold-nano-dotsSteffes, H. / Schleunitz, A. / Gernert, U. / Chabicovsky, R. / Obermeier, E. et al. | 2006
- 1201
-
Development of a micro-bellows actuator using micro-stereolithography technologyKang, Hyun-Wook / Lee, In Hwan / Cho, Dong-Woo et al. | 2006
- 1205
-
Manufacture of micro-sensors and actuators for flow controlArthur, G.G. / McKeon, B.J. / Dearing, S.S. / Morrison, J.F. / Cui, Z. et al. | 2006
- 1209
-
Capacitive pressure sensors and switches fabricated using strain compensated SiGeBChatzandroulis, S. / Koliopoulou, S. / Goustouridis, D. / Tsoukalas, D. et al. | 2006
- 1212
-
Fabrication of actuator for nanopositioning using laser micro-machiningLendraitis, V. / Brikas, M. / Snitka, V. / Mizarienė, V. / Raciukaitis, G. et al. | 2006
- 1216
-
Micro/nanomechanical resonators for distributed mass sensing with capacitive detectionArcamone, Julien / Rius, Gemma / Abadal, Gabriel / Teva, Jordi / Barniol, Nuria / Pérez-Murano, Francesc et al. | 2006
- 1221
-
Three-dimensional rotor fabrication by focused-ion-beam chemical-vapor-depositionIgaki, Jun-ya / Kometani, Reo / Nakamatsu, Ken-ichiro / Kanda, Kazuhiro / Haruyama, Yuichi / Ochiai, Yukinori / Fujita, Jun-ichi / Kaito, Takashi / Matsui, Shinji et al. | 2006
- 1225
-
Comparison of FIB-CVD and EB-CVD growth characteristicsIgaki, Jun-ya / Kanda, Kazuhiro / Haruyama, Yuichi / Ishida, Masahiko / Ochiai, Yukinori / Fujita, Jun-ichi / Kaito, Takashi / Matsui, Shinji et al. | 2006
- 1229
-
Front- to back-side overlay optimization after wafer bonding for 3D integrationMarinier, Laurent / van Noort, Wibo / Pellens, Rudy / Sutedja, Budiman / Dekker, Ronald / van Zeijl, Henk et al. | 2006
- 1233
-
Directional scrolling of hetero-films on Si(110) and Si(111) surfacesZhang, L. / Deckardt, E. / Weber, A. / Schönenberger, C. / Grützmacher, D. et al. | 2006
- 1237
-
Fabrication and characterization of freestanding Si/Cr micro- and nanospiralsZhang, Li / Dong, Lixin / Bell, Dominik J. / Nelson, Bradley J. / Schönenberger, Christian / Grützmacher, Detlev et al. | 2006
- 1241
-
Electron-beam induced deposition of a nanotip within a nano-aperture structureBlackburn, Arthur M. / Hasko, David G. / Williams, David A. et al. | 2006
- 1245
-
Multi-stacked MEMS nano-membranes for coherent extreme ultraviolet emissionUstyuzhanin, Pavel / Sundick, Yisrael / Geva-Mittelberg, Gil / Shroff, Yashesh / Goldstein, Michael et al. | 2006
- 1249
-
Fabrication of micro-coils using laser scan lithography on copper pipesKaneko, Yoshihisa / Hashimoto, Kohei / Horiuchi, Toshiyuki et al. | 2006
- 1253
-
Development of micro-stereolithography technology using metal powderLee, Jin Woo / Lee, In Hwan / Cho, Dong-Woo et al. | 2006
- 1257
-
Arbitrarily profiled 3D polymer MEMS through Si micro-moulding and bulk micromachiningLarsson, Michael P. et al. | 2006
- 1261
-
Micron-sized mechanical oscillators created by 3D two-photon polymerization: Towards a mechanical logic deviceKnoll, A. / Dürig, U. / Züger, O. / Güntherodt, H.-J. et al. | 2006
- 1265
-
Surface relief polymer structures grafted onto polymer filmsPadeste, Celestino / Farquet, Patrick / Solak, Harun H. et al. | 2006
- 1269
-
Sloped side walls in SU-8 structures with ‘Step-and-Flash’ processingNordström, Maria / Hübner, Jörg / Boisen, Anja et al. | 2006
- 1273
-
Effect of filler behavior on nanocomposite SU8 photoresist for moving micro-partsJiguet, S. / Judelewicz, M. / Mischler, S. / Bertch, A. / Renaud, P. et al. | 2006