Comparison of 2D MESH routing algorithm in NOC (Englisch)
- Neue Suche nach: Pan Hao,
- Neue Suche nach: Hong Qi,
- Neue Suche nach: Du Jiaqin,
- Neue Suche nach: Pan Pan,
- Neue Suche nach: Pan Hao,
- Neue Suche nach: Hong Qi,
- Neue Suche nach: Du Jiaqin,
- Neue Suche nach: Pan Pan,
In:
2011 9th IEEE International Conference on ASIC
;
791-795
;
2011
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Comparison of 2D MESH routing algorithm in NOC
-
Beteiligte:
-
Erschienen in:
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.10.2011
-
Format / Umfang:823878 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
[Copyright notice]| 2011
- 1
-
[Title page]| 2011
- 1
-
A behavior-based reconfigurable cache for the low-power embedded processorJiongyao Ye, / Jiannan Jin, / Watanabe, T. et al. | 2011
- 6
-
A novel method for storage architecture of pipeline FFT processorTing Zhang, / Lan Chen, / Yan Feng, et al. | 2011
- 9
-
Design of resistant DPA three-valued counter based on SABLYuejun Zhang, / Pengjun Wang, / Lipeng Hao, et al. | 2011
- 13
-
Improvement of adiabatic domino circuits and its application in multi-valued circuitsQiankun Yang, / Pengjun Wang, / Fengna Mei, et al. | 2011
- 17
-
Low power shift registers for megabits CMOS image sensorsJinn-Shyan Wang, / Tsung-Han Hsieh, / Keng-Jui Chang, / Chingwei Yeh, et al. | 2011
- 21
-
High-parallel LDPC decoder with power gating designYing Cui, / Xiao Peng, / Yu Jin, / Peilin Liu, / Kimura, S. / Goto, S. et al. | 2011
- 25
-
A reconfigurable macro-pipelined DCT/IDCT acceleratorWenqi Bao, / Jiang Jiang, / Qing Sun, / Yuzhuo Fu, et al. | 2011
- 29
-
Scheduling to timing optimization for a novel high-level synthesis approachLing Li, / Teng Wang, / Ziyi Hu, / Xin'an Wang, / Xu Zhang, et al. | 2011
- 33
-
High reliable digital signal processor for automotive applicationYimiao Zhao, / Zhigang Ni, et al. | 2011
- 35
-
A novel Differential fault analysis on AES-128Pengjun Wang, / Lipeng Hao, et al. | 2011
- 39
-
Saving 78.11% Dhrystone power consumption in FPU by clock gating while still keeping co-operation with CPUMinh Thien Trieu, / Huong Thien Hoang, / Phong The Vo, / Hung Bao Vo, / Yuyama, Y. et al. | 2011
- 43
-
A hardware/software co-design approach for multiple-standard video bitstream parsingSha Shen, / Huibo Zhong, / Yibo Fan, / Xiaoyang Zeng, et al. | 2011
- 47
-
ADDLL/VDD-biasing co-design for process characterization, performance calibration, and clock synchronization in variation-tolerant designsJinn-Shyan Wang, / Yung-Chen Chien, / Jia-Hong Lin, / Chun-Yuan Cheng, / Ying-Ting Ma, / Chung-Hsun Huang, et al. | 2011
- 51
-
Analysis of adaptive support-weight based stereo matching for hardware realizationJunbao Liu, / Shuai Wang, / Yang Li, / Jun Han, / Xiaoyang Zeng, et al. | 2011
- 55
-
A high performance sound source localization system based on macro-pipelined architectureQing Sun, / Yuzhuo Fu, / Wenqi Bao, / Jiang Jiang, et al. | 2011
- 59
-
Research on design of a reconfigurable parallel structure targeted at LFSRWei Li, / Xuan Yang, / Zibin Dai, et al. | 2011
- 64
-
Using NOC technology to improve photoelectric encoder system for LAMOST spectroscopesZhongyi Han, / Jianing Wang, / Yizhong Zeng, / Zhongwen Hu, et al. | 2011
- 67
-
A new configurable logic block with 4/5-input configurable LUT and fast/slow-path carry chainZhidong Mao, / Liguang Chen, / Yuan Wang, / Jinmei Lai, et al. | 2011
- 71
-
A 768 Megapixels/sec inverse transform with hybrid architecture for multi-standard decoderTuan Minh Phan Ho, / Thang Minh Le, / Khanh Duy Vu, / Mochizuki, S. / Iwata, K. / Matsumoto, K. / Ueda, H. et al. | 2011
- 75
-
A two-way parallel CAVLC encoder for 4K×2K H.264/AVCHuibo Zhong, / Sha Shen, / Yibo Fan, / Xiaoyang Zeng, et al. | 2011
- 79
-
Multi-stage power gating based on controlling values of logic gatesYu Jin, / Kimura, S. et al. | 2011
- 83
-
A high speed reconfigurable face detection architectureWeina Zhou, / Yao Zou, / Lin Dai, / Xiaoyang Zeng, et al. | 2011
- 87
-
A coarse-grained reconfigurable computing unitKanwen Wang, / Shuai Chen, / Wei Cao, / Lingli Wang, / Jiarong Tong, et al. | 2011
- 91
-
Battery state of charge estimation using adaptive subspace identification methodSwarup, S. / Tan, S. X.-D / Zao Liu, / Hai Wang, / Zhigang Hao, / Guoyong Shi, et al. | 2011
- 95
-
A new frequency compensation scheme for current-mode DC/DC converterJiake Wang, / Jinguang Jiang, / Shanshan Li, / Xu Gong, / Xifeng Zhou, / Qingyun Li, et al. | 2011
- 100
-
A high-performance PWM controller with adjustable current limitZekun Zhou, / Huifang Wang, / Yue Shi, / Xin Ming, / Bo Zhang, et al. | 2011
- 104
-
Capacitor-free, fast transient response CMOS low-dropout regulator with multiple-loop controlXiao Tang, / Lenian He, et al. | 2011
- 108
-
A high efficiency current mode step-up/step-down DC-DC converter with smooth transitionYanzhao Ma, / Jun Cheng, / Guican Chen, et al. | 2011
- 112
-
A non-rectifier wireless power transmission system using on-chip inductorYimeng Zhang, / Mengshu Huang, / Yoshihara, T. et al. | 2011
- 116
-
An overview of charge pumping circuits for flash memory applicationsOi-Ying Wong, / Hei Wong, / Wing-Shan Tam, / Chi-Wah Kok, et al. | 2011
- 120
-
Class-AB CMOS buffer with floating class-AB controlPeng Zhang, / Fan Ye, / Junyan Ren, et al. | 2011
- 124
-
A new topology for fully differential amplifiers that enhances their tolerance to external disturbancesGuoyuan Fu, / Mantooth, H. A. / Jia Di, et al. | 2011
- 128
-
Double charge pump circuit with triple charge sharing clock schemeMengshu Huang, / Yimeng Zhang, / Hao Zhang, / Yoshihara, T. et al. | 2011
- 133
-
CMOS charge pump with separated charge sharing for improved boosting ratio and relaxed timing restrictionSeung-Jae Choi, / Young-Hyun Jun, / Bai-Sun Kong, et al. | 2011
- 137
-
ROIC with adaptive reset control for improving dynamic range of IR FPAsDoohyung Woo, / Ilku Nam, / Joonwoo Choi, et al. | 2011
- 141
-
A signal conditioner IC for inductive proximity sensorsHuang Wengang, / Wang Chenghe, / Liu Luncai, / Huang Xiaozong, / Wang Guoqiang, et al. | 2011
- 145
-
A low-power low-noise amplifier for EEG/ECG signal recording applicationsJinghao Feng, / Na Yan, / Hao Min, et al. | 2011
- 149
-
A TIA-based interface for MEMS capacitive gyroscopeTao Yin, / Huanming Wu, / Qisong Wu, / Haigang Yang, / Jiwei Jiao, et al. | 2011
- 153
-
A multi-level arbitration and topology free streaming network for chip multiprocessorJian Wang, / Karlsson, A. / Sohl, J. / Pettersson, M. / Liu, D. et al. | 2011
- 159
-
Design and verification of an application-specific PLD using VHDL and SystemVerilogJae-Jin Lee, / Young-Jin Oh, / Gi-Yong Song, et al. | 2011
- 163
-
Evaluation of deflection routing on various NoC topologiesChaochao Feng, / Jinwen Li, / Zhonghai Lu, / Jantsch, A. / Minxuan Zhang, et al. | 2011
- 167
-
ASIC implementation of an OFDM baseband transceiver for HINOCHongming Chen, / Xiaoyuan Chen, / Tie Liu, / Yuhua Cheng, et al. | 2011
- 171
-
Design of four-transistor Pixel for high speed CMOS imageZhou Yangfan, / Cao Zhongxiang, / Li Quanliang, / Qin Qi, / Wu Nanjian, et al. | 2011
- 175
-
A Network-on-Chip simulation framework for homogeneous Multi-Processor System-on-ChipYuan Wen Hau, / Marsono, M. N. / Chia Yee Ooi, / Khalil-Hani, M. et al. | 2011
- 180
-
Design of a signal processing circuit for quartz crystal microbalance biosensorsShih-Chang Chang, / I-Jen Chao, / Bin-Da Liu, / Chun-Yueh Huang, / Mei-Hwa Lee, / Hung-Yin Lin, et al. | 2011
- 184
-
A low-power 433MHz transmitter for battery-less Tire Pressure Monitoring SystemJinyu Zhu, / Liji Wu, / Xiangmin Zhang, / Chen Jia, / Chun Zhang, et al. | 2011
- 188
-
A high performance and low cost video processing SoC for digital HDTV systemsLongjun Liu, / Hongbin Sun, / Wenzhe Zhao, / Zuoxun Hou, / Jingmin Xin, / Nanning Zheng, et al. | 2011
- 192
-
A novel hardware prefetching scheme exploiting 2-D spatial locality in multimedia applicationsJin Huang, / Jing Xie, / Zhigang Mao, et al. | 2011
- 196
-
A NoC-based multi-core architecture for IEEE 802.11i CCMPYang Li, / Jun Han, / Shuai Wang, / Junbao Liu, / Xiaoyang Zeng, et al. | 2011
- 200
-
A method of quadratic programming for mapping on NoC architectureJiayi Sheng, / Liulin Zhong, / Ming'e Jing, / Zhiyi Yu, / Xiaoyang Zeng, et al. | 2011
- 204
-
A channel estimator for LTE downlink mapped on a multi-core processor platformMaofei He, / Jiajie Zhang, / Wenhua Fan, / Zhiyi Yu, / Xiaoyang Zeng, et al. | 2011
- 208
-
Wideband spectrum sensing using the all-phase FFTLian Huai, / Sobelman, G. E. / Xiaofang Zhou, et al. | 2011
- 212
-
A robust frame synchronization scheme for Broadband Power-line CommunicationChen Chen, / Yuebin Huang, / Yizhi Wang, / Yun Chen, / Xiaoyang Zeng, et al. | 2011
- 216
-
FFT implementation with Multi-operand floating point unitsZhang Zhang, / Dongge Wang, / Yuteng Pan, / Dan Wang, / Xiaofang Zhou, / Sobelman, G. E. et al. | 2011
- 220
-
General lattice wave digital filter with phase compensation schemeYan Zhao, / Jinyuan Zhou, / Xiaofang Zhou, / Sobelman, G. E. et al. | 2011
- 224
-
A high efficient baseband transceiver for IEEE 802.15.4 LR-WPAN systemsShouyi Yin, / Jianwei Cui, / Ao Luo, / Leibo Liu, / Shaojun Wei, et al. | 2011
- 228
-
System modeling and analysis of the IEEE 802.15.4 physical layer designJikang Xia, / Lan Chen, / Ying Li, / Yinhao Zhou, et al. | 2011
- 232
-
Towards the next generation of low-power test technologiesXiaoqing Wen, et al. | 2011
- 236
-
Word error control algorithm through multi-reading for NAND Flash memoriesChong Zhang, / Yoshihara, T. et al. | 2011
- 240
-
A new scheme for testability improvement of ECC incorporated memoryLei Wang, / Jianhua Jiang, / Yumei Zhou, / Gaofeng Ren, et al. | 2011
- 244
-
A BIST scheme for high-speed Gain Cell eDRAMBing Yan, / Yufeng Xie, / Rui Yuan, / Yinyin Lin, et al. | 2011
- 248
-
Variation-resilient voltage generation for SRAM weak cell testingChingwei Yeh, / Yan-Nan Liu, / Jinn-Shyan Wang, / Pei-Yao Chang, et al. | 2011
- 252
-
Single event upset immune latch circuit design using C-elementRajaei, R. / Tabandeh, M. / Rashidian, B. et al. | 2011
- 256
-
Challenges of electrostatic discharge (ESD) protection in emerging silicon nanowire technologyLiou, J. J. / Chang Jiang, / Cao Guang-Biao, / Chang Gung, / Feng Chia, et al. | 2011
- 259
-
A software/hardware co-debug platform for multi-core systemsKuen-Jong Lee, / Su, A. / Long-Feng Chen, / Jia-Wei Jhou, / Kuo, J. / Liu, M. et al. | 2011
- 263
-
HV CMOS orientated variation-aware layout and robust solutionGu Cong, / Chen Hong, et al. | 2011
- 267
-
Modified Minimal-Connected-Component fault block model to deal with defective links and nodes for 2D-mesh NoCsYueming Yang, / Heng Quan, / Zewen Shi, / Xiaoyang Zeng, / Zhiyi Yu, et al. | 2011
- 271
-
Addressing fault tolerance in 4-PAM signaling by using block codes for on/off-chip communicationForooshani, A. A. / Rokhani, F. Z. et al. | 2011
- 275
-
A novel multi-finger layout strategy for GGnMOS ESD protection devicePeng Zhang, / Yuan Wang, / Song Jia, / Xing Zhang, et al. | 2011
- 279
-
Current status and future prospect of Phase Change MemoryByeungchul Kim, / Yoonjong Song, / Sujin Ahn, / Younseon Kang, / Hoon Jeong, / Dongho Ahn, / Seokwoo Nam, / Gitae Jeong, / Chilhee Chung, et al. | 2011
- 283
-
Memristor models and circuits for controlling Process-VDD-Temperature variationsKwan-Hee Jo, / Chul-Moon Jung, / Kyeong-Sik Min, et al. | 2011
- 287
-
The design of low leakage SRAM cell with high SNMHao Yan, / Donghui Wang, / Chaohuan Hou, et al. | 2011
- 291
-
Novel RRAM programming technology for instant-on and high-security FPGAsXiaoyong Xue, / Wenxiang Jian, / Yufeng Xie, / Qing Dong, / Rui Yuan, / Yinyin Lin, et al. | 2011
- 295
-
A study of dual-Vt configurations of an 8T SRAM cell in 45nmWenbin Liu, / Jinhui Wang, / Wuchen Wu, / Xiaohong Peng, / Ligang Hou, et al. | 2011
- 299
-
Challenges and trends in low-power 3D die-stacked IC designs using RAM, memristor logic, and resistive memory (ReRAM)Meng-Fan Chang, / Pi-Feng Chiu, / Wei-Cheng Wu, / Ching-Hao Chuang, / Shyh-Shyuan Sheu, et al. | 2011
- 303
-
A 55nm ultra high density two-port register file compiler with improved write replica techniqueZhao-Yong Zhang, / Li-Jun Zhang, / Yi-Ping Zhang, / Rui-Feng Huang, / Shou-Dao Wu, / Jian-Bin Zheng, et al. | 2011
- 307
-
Word line boost and read SA PMOS compensation (SAPC) for ROM in 55nm CMOSRuifeng Huang, / Jianbin Zheng, / Lijun Zhang, / Zhaoyong Zhang, / Hao Wu, / Yue Yu, et al. | 2011
- 311
-
Design of a single-ended cell based 65nm 32×32b 4R2W register fileBaoyu Xiong, / Xingxing Zhang, / Jun Han, / Zhiyi Yu, / Xiaoyang Zeng, et al. | 2011
- 315
-
A 90 nm 16 Mb embedded phase-change memory macro with write current smoothing and enhanced write bandwidthHongwei Hong, / Zheng Li, / Qin Li, / Ruizhe Wang, / Hwang, C. et al. | 2011
- 319
-
Separate projection and extended Cauer method for circuit reductionSuzuki, G. et al. | 2011
- 324
-
VLSI interconnect delay analysis method for ramp input signalMihara, N. / Suzuki, G. et al. | 2011
- 329
-
RRA-based multi-objective optimization to mitigate the worst cases of placementYiqiang Sheng, / Takahashi, A. / Ueno, S. et al. | 2011
- 333
-
Numerical characterization of multi-dielectric green's function for floating random walk based capacitance extractionHao Zhuang, / Wenjian Yu, / Gang Hu, / Zuochang Ye, et al. | 2011
- 337
-
Power grid sizing via convex programmingPeng Du, / Shih-Hung Weng, / Xiang Hu, / Chung-Kuan Cheng, et al. | 2011
- 341
-
Polarity optimization of XNOR/OR circuit area and power based on weighted sum methodHuihong Zhang, / Pengjun Wang, et al. | 2011
- 345
-
Don't let the X-bugs bite: Conquer elusive X-propagation issues early! Get them before they get you!Piper, L. / Jin Zhang, et al. | 2011
- 349
-
Meshim: A high-level performance simulation platform for three-dimensional network-on-chipMenwang Xie, / Duoli Zhang, / Yao Li, et al. | 2011
- 353
-
Through-Silicon-Via assignment for 3D ICsJianchang Ao, / Sheqin Dong, / Song Chen, / Goto, S. et al. | 2011
- 357
-
Incremental layout optimization for NoC designs based on MILP formulationJia Liu, / Yuchun Ma, / Ning Xu, / Yu Wang, et al. | 2011
- 361
-
Standard cell design of a low-leakage flip-flop with gate-length biasingJianping Hu, / Jun Wang, et al. | 2011
- 365
-
Debugging methodology and timing analysis in CDC solutionMatsuda, A. / Jin Zhang, et al. | 2011
- 369
-
Circuit simulation using matrix exponential methodShih-Hung Weng, / Quan Chen, / Chung-Kuan Cheng, et al. | 2011
- 373
-
A new event driven testbench synthesis engine for FPGA emulationHaocheng Huang, / Aiwu Ruan, / Yongbo Liao, / Jianhua Zhu, / Lin Wang, / Chuanyin Xiang, / Pin Li, et al. | 2011
- 377
-
An improved packing tool based on a dual-output basic logic elementXianyang Jiang, / Ying Liu, / Shilei Sun, / Gaofeng Wang, et al. | 2011
- 381
-
A test approach of combining partial scan with functional testing for high performance processorsQuanquan Li, / Yingke Gao, / Tiejun Zhang, / Chaohuan Hou, et al. | 2011
- 385
-
Automatic layout generator for embedded FPGA coresChaofan Yu, / Lingli Wang, / Xuegong Zhou, et al. | 2011
- 389
-
An optimized mapping algorithm based on Simulated Annealing for regular NoC architectureLiulin Zhong, / Jiayi Sheng, / Ming'e Jing, / Zhiyi Yu, / Xiaoyang Zeng, / Dian Zhou, et al. | 2011
- 393
-
FPGA interconnect timing library based on the statistical methodXiangzhi Meng, / Liguang Chen, / Hao Zhou, / Jian Wang, / Meng Yang, / Jinmei Lai, et al. | 2011
- 397
-
Robustness and performance analysis on high speed ASIC design with canonical statistical timing modelSuoming Pu, / Bo Yu, / Xuan Zou, et al. | 2011
- 401
-
Optimization of mixed polarity Reed-Muller expressions based on Whole Annealing Genetic AlgorithmMeng Yang, / Hongying Xu, et al. | 2011
- 405
-
CPIPQ: A common platform for silicon IP qualificationMok, M. P. C. / Lo, K. C. K. / Yuzhong Jiao, / Yiu Kei Li, et al. | 2011
- 409
-
Comprehensive electro-thermal(ET) analysis with considering ET couplingHuang Kun, / Zhao Guoxing, / Yang Xu, / Zuying Luo, et al. | 2011
- 413
-
Latency-aware mapping for 3D NoC using rank-based multi-objective genetic algorithmJiawen Wang, / Li Li, / Hongbing Pan, / Shuzhuan He, / Rong Zhang, et al. | 2011
- 417
-
Mobility overlap-removal based timing-constrained schedulingSong Chen, / Yuan Yao, / Yoshimura, T. et al. | 2011
- 421
-
An effecient level-shifter floorplanning method for Multi-voltage designXiaolin Zhang, / Zhi Lin, / Song Chen, / Yoshimura, T. et al. | 2011
- 425
-
The manufacturing of Si base thin film solar cell modulesTingkai Li, et al. | 2011
- 430
-
Challenges and strategies in advanced CMOS technology developmentXiaomeng Chen, et al. | 2011
- 433
-
Research on electromechanical model of micro-accelerometer based on SOI technologyKeqiang Qian, / Wen Luo, / Qi Yu, et al. | 2011
- 437
-
CMOS compatible MEMs process for post interconnect single chip integration applicationXiaoxu Kang, / Qingyun Zuo, / Jiaqing Li, / Chao Yuan, / Yuhang Zhao, et al. | 2011
- 441
-
Cascadable current-mode multifunction filter configuration using minimum number of CCTAs and grounded capacitorsXifeng Zhou, / Jinguang Jiang, / Shanshan Li, et al. | 2011
- 445
-
A matrix approach to low-voltage low-power log-domain CMOS current-mode adjustable-bandwidth step-gain filter designXiaoyu Wang, / Haigang Yang, / Tao Yin, / Fei Liu, et al. | 2011
- 449
-
A sigma-delta modulator with a novel chopper correlated double sampled integratorLuo Wang, / Huihui Ji, / Quan Sun, et al. | 2011
- 453
-
VLSI implementation of high-speed low power decimation filter for LTE sigma-delta A/D converter applicationJing Li, / Ran Li, / Ting Yi, / Zhiliang Hong, / Bill Yang Liu, et al. | 2011
- 457
-
A continuous time sigma-delta modulator using time-domain quantizer and feedback elementSiliang Hua, / Hao Yan, / Yan Liu, / Donghui Wang, / Chaohuan Hou, et al. | 2011
- 461
-
An analysis on a pseudo-differential dynamic comparator with load capacitance calibrationPaik, D. / Miyahara, M. / Matsuzawa, A. et al. | 2011
- 465
-
Modeling of a double-sampling switched-capacitor bandpass delta-sigma modulator for multi-standard applicationsHong Chang, / Wenxian Lu, / Xu Cheng, / Yawei Guo, / Xiaoyang Zeng, et al. | 2011
- 469
-
A time-domain flash ADC immune to voltage controlled delay line non-linearityYoung-Hwa Kim, / SeongHwan Cho, et al. | 2011
- 472
-
A 10-Bit, 50 MS/s, 55 fJ/conversion-step SAR ADC with split capacitor arraySeong-Jin Cho, / Yohan Hong, / Taegeun Yoo, / Kwang-Hyun Baek, et al. | 2011
- 476
-
A 1.8V 100MS/s 10-bit pipelined folding A/D converter with 9.49 ENOB at Nyquist frequencyXiaojuan Li, / Yintang Yang, / Zhangming Zhu, et al. | 2011
- 480
-
A sample-and-hold circuit for 10-bit 100MS/s pipelined ADCHaitao Wang, / Hui Hong, / Lingling Sun, / Zhiping Yu, et al. | 2011
- 484
-
A low power 10-bit 100-MS/s SAR ADC in 65nm CMOSJun Ma, / Yawei Guo, / Li Li, / Yue Wu, / Xu Cheng, / Xiaoyang Zeng, et al. | 2011
- 488
-
A dual 12bit 80MSPS 3.3V Current-Steering DAC for HINOCHongming Chen, / Xiaoyuan Chen, / Yuhua Cheng, et al. | 2011
- 492
-
A 4-channel 8-bit 650-MSample/s DAC with interpolation filter for embedded applicationQianqian Ha, / Fan Ye, / Chixiao Chen, / Xiaoshi Zhu, / Mingshuo Wang, / Yujing Lin, / Ning Li, / Junyan Ren, et al. | 2011
- 496
-
A new current switch driver with improved dynamic performance used for 500MS/s, 12-bit Nyquist current-steering DACGuojia Liu, / Lenian He, / Xiaobo Xue, / Qifeng Shi, et al. | 2011
- 500
-
A 14-bit 2-GS/s DAC with SFDR>70dB up to 1-GHz in 65-nm CMOSRan Li, / Qi Zhao, / Ting Yi, / Zhiliang Hong, et al. | 2011
- 504
-
A multi-mode 1-V DAC+filter in 65-nm CMOS for reconfigurable (GSM, TD-SCDMA and WCDMA) transmittersLi Li, / Jun Ma, / Yawei Guo, / Xu Cheng, / Xiaoyang Zeng, et al. | 2011
- 508
-
Energy efficient ADC design with low voltage operationMatsuzawa, A. et al. | 2011
- 512
-
A low-power 4.224GS/s sampler in 0.13-µm CMOS for IR UWB receiverYi Zhao, / Jun Jiang, / Ke Shao, / Yajie Qin, / Zhiliang Hong, et al. | 2011
- 516
-
CMOS low-power subthreshold reference voltage utilizing self-biased body effectZhang Hao, / Zhang Yimeng, / Huang Mengshu, / Tsutomu, Y. et al. | 2011
- 520
-
A precision 2.5V bandgap voltage reference with excellent initial accuracy of 0.25% for high resolution ADCsXiaozong Huang, / Jing Zhang, / Luncai Liu, / Wengang Huang, / Yanlin Zhang, / Lei Yu, et al. | 2011
- 524
-
A high-performance bandgap reference with advanced curvature-compensationZekun Zhou, / Xiangzhu Xu, / Yue Shi, / Xin Ming, / Bo Zhang, et al. | 2011
- 528
-
Novel flash ion sensitive field effect transistor for chemical sensor applicationsChao-Sung Lai, / Tseng-Fu Lu, / Jer-Chyi Wang, et al. | 2011
- 531
-
A model for energy quantization of single-electron transistor below 10nmXiaobao Chen, / Zuocheng Xing, / Bingcai Sui, et al. | 2011
- 535
-
An efficient design algorithm for exploring flexible topologies in custom adaptive 3D NoCs for high performance and low powerXin Jiang, / Ran Zhang, / Watanabe, T. et al. | 2011
- 539
-
Linear Dropout Regulator based power distribution design under worst loadingShayan, A. / Xiang Hu, / Chung-Kuan Cheng, / Wenjian Yu, / Pan, C. et al. | 2011
- 543
-
A unipolar-CMOS with recessed source/drain loadJyi-Tsong Lin, / Hsuan-Hsu Chen, / Kuan-Yu Lu, / Chih-Hung Sun, / Tung-Yen Lai, / Fu-Liang Yang, et al. | 2011
- 547
-
An analytical model for SOI triple RESURF devicesHaimeng Huang, / Yongwei Wang, / Xingbi Chen, et al. | 2011
- 551
-
A study of second saturation effect of OPTVLD NMOSWenfang Du, / Xingbi Chen, et al. | 2011
- 555
-
Quantum mechanical effects on the threshold voltage of the evenly doped surrounding-gate MOSFETsGuanghui Mei, / Peicheng Li, / Guangxi Hu, / Ran Liu, / Tingao Tang, et al. | 2011
- 558
-
Effect of structural parameters on the performance and variations of nanosizes PNIN tunneling field effect transistorCheng, S. Q. / Yao, C. J. / Huang, D. M. et al. | 2011
- 562
-
Exploring 3D power distribution network physicsXiang Hu, / Peng Du, / Chung-Kuan Cheng, et al. | 2011
- 566
-
An efficient solver for statistical capacitance extraction considering random process variationsRubing Bai, / Shan Zeng, / Qingqing Zhang, / Wenjian Yu, et al. | 2011
- 570
-
Performance evaluation modeling for reconfigurable processorShuang Liang, / Shouyi Yin, / Chongyong Yin, / Leibo Liu, / Shaojun Wei, et al. | 2011
- 574
-
A high performance clock precharge SEU hardened flip-flopIslam, R. / Esmaeili, S. E. / Islam, T. et al. | 2011
- 578
-
Design of 2-3 mixed-valued/six-valued adiabatic asynchronous up-down counterFengna Mei, / Pengjun Wang, et al. | 2011
- 582
-
A study of frequency synthesizer for AT-DMB applicationsJun Cheng, / Sung Hoon Bang, / Nak Yoon Kim, / Yong Moon, et al. | 2011
- 586
-
A new figure of Merit of LC oscilators considering frequency tuning rangeSato, T. / Okada, K. / Matsuzawa, A. et al. | 2011
- 590
-
Low noise low power two-stage modulator with injection locked LO divider in 65nm CMOSWufeng Wang, / Peichen Jiang, / Tingting Mo, / Jianjun Zhou, et al. | 2011
- 594
-
Design of a low-power low-phase-noise multi-mode divider with 25%-duty-cycle outputs in 0.13µm CMOSSong Hu, / Weinan Li, / Yumei Huang, / Zhiliang Hong, et al. | 2011
- 598
-
A noise rejective VCO with build-in active LC filterMa Zhuo, / Guo Yang, / Xie Lunguo, / Liu Rongrong, / Zuo Hongjian, et al. | 2011
- 602
-
A 0.8ps minimum-resolution sub-exponent TDC for ADPLL in 0.13µm CMOSXiaolu Liu, / Na Yan, / Xi Tan, / Hao Min, et al. | 2011
- 606
-
0.5 VDD digitally controlled oscillators design with compensation techniques for PVT variationsChia-Wen Chang, / Shyh-Jye Jou, / Yuan-Hua Chu, et al. | 2011
- 610
-
Digitally-controlled cell-based oscillator with multi-phase differential outputsMing-Chiuan Su, / Shyh-Jye Jou, et al. | 2011
- 614
-
Low phase noise injection-locked doubler-based quadrature CMOS VCOChen Lian, / Wei Li, / Haipeng Fu, / Ning Li, / Junyan Ren, et al. | 2011
- 618
-
A thermal model for the top layer of 3D integrated circuits considering through silicon viasFengjuan Wang, / Zhangming Zhu, / Yintang Yang, / Ning Wang, et al. | 2011
- 621
-
Novel high uniformity readout circuit allowing microbolometers to operate with low noiseJian Lv, / Yun Zhou, / Baobin Liao, / Yadong Jiang, et al. | 2011
- 625
-
Integration of information security chips based on System-in-PackageTong Ran, / Guoqiang Bai, et al. | 2011
- 629
-
A new asynchronous delay-insensitive link based on a 1-of-4 LETS codeCan Wang, / Qin Wang, / Jianfei Jiang, et al. | 2011
- 633
-
A high-speed asynchronous array multiplier based on multi-threshold semi-static NULL convention logic pipelineYanfei Yang, / Yintang Yang, / Zhangming Zhu, / Duan Zhou, et al. | 2011
- 637
-
An ultra low power ASK demodulator for passive UHF RFID tagHongqiang Zong, / Jinpeng Shen, / Shan Liu, / Mei Jiang, / Qingyuan Ban, / Ling Tang, / Fanyu Meng, / Xin'an Wang, et al. | 2011
- 641
-
Improvement and parallel implementation of canny edge detection algorithm based on GPUShengxiao Niu, / Jingjing Yang, / Sheng Wang, / Gengsheng Chen, et al. | 2011
- 645
-
A new full current mode sense amplifier with compensation circuitYiqi Wang, / Fazhao Zhao, / Mengxin Liu, / Zhengsheng Han, et al. | 2011
- 649
-
An efficient 90nm technology-node GHz transceiver of on-chip global interconnectZaixiao Zheng, / Zhigang Mao, / Jianfei Jiang, et al. | 2011
- 653
-
Electrochemical biosensor based on modified graphene oxide for tuberculosis diagnosisPei Zhang, / Xiaosen Chai, / Chun Xu, / Jia Zhou, et al. | 2011
- 657
-
Digital quadrature IF modulator using single-bit DACsRuimin Huang, / Chaodong Ling, / Jiaxian Wang, et al. | 2011
- 661
-
Zero-crossing distortion analysis in one cycle controlled boost PFC for Low THDYani Li, / Yintang Yang, / Zhangming Zhu, / Wei Qiang, et al. | 2011
- 665
-
A simulation study of vertical tunnel field effect transistorsZhong-Fang Han, / Guo-Ping Ru, / Gang Ruan, et al. | 2011
- 669
-
Determination of the trap states distribution in Poly-Si films using the OEMS modulationXiyue Li, / Wanling Deng, / Junkai Huang, et al. | 2011
- 673
-
High efficiency and low power multi-rate LDPC decoder design for CMMBJiang xiaobo, / li hongyuan, et al. | 2011
- 679
-
Area efficient LDPC decoder design for parallel layered decodingYuan Yao, / Fan Ye, / Junyan Ren, et al. | 2011
- 683
-
Accelerating the data shuffle operations for FFT algorithms on SIMD DSPsKai Zhang, / Shuming Chen, / Sheng Liu, / Yaohua Wang, / Junhui Huang, et al. | 2011
- 687
-
Automatic compilation flow for a coarse-grained reconfigurable processorHao Wang, / Weiguang Sheng, / Weifeng He, et al. | 2011
- 691
-
Origin of high on-state current for dopant-segregated schottky MOSFETYang Tang, / Liu-Lin Zhong, / Yu-Long Jiang, et al. | 2011
- 694
-
System level performance evaluation of three-dimensional integrated circuitLibo Qian, / Zhangming Zhu, / Yintang Yang, et al. | 2011
- 698
-
An energy efficiency task scheduling algorithm for streaming applications on multiprocessor SoCShan Cao, / Zhaolin Li, / Shaojun Wei, et al. | 2011
- 703
-
Analysis and architecture design of aggregation in BM3DWenjiang Liu, / Yue Zhu, / Tao Liu, / Mengtian Rong, / Hao Zhang, et al. | 2011
- 707
-
A JTAG-based configuration circuit applied in SerDes chipXun Jiang, / Xiaoxin Cui, / Dunshan Yu, et al. | 2011
- 711
-
An automated design flow for image processing filter in embedded systemsMatsuda, A. / Baba, S. et al. | 2011
- 715
-
A novel channel estimation algorithm in OFDM power line communication systemHuidong Zhao, / Yong Hei, / Shushan Qiao, et al. | 2011
- 719
-
Low power design for SoC with power management unitDaying Sun, / Shen Xu, / Weifeng Sun, / Shengli Lu, / Longxing Shi, et al. | 2011
- 723
-
Improvement on branch scheduling for VLIW architectureLidan Bao, / Hongmei Wang, / Tiejun Zhang, / Donghui Wang, / Chaohuan Hou, et al. | 2011
- 727
-
Research on reconfigurable multiplier unit based on GF[(28)]4 field of symmetric cryptographyXu JianBo, / Dai Zibin, / Xuan Yang, / Su Yang, et al. | 2011
- 731
-
A low-voltage differential injection locked divider with forward body biasHaipeng Fu, / Hanchao Zhou, / Yangyang Niu, / Junyan Ren, / Wei Li, / Ning Li, et al. | 2011
- 735
-
Effects of unintended dopants on I–V characteristics of the double-gate MOSFETs, a simulation studyPeicheng Li, / Guanghui Mei, / Guangxi Hu, / Ran Liu, / Tingao Tang, et al. | 2011
- 739
-
A control scheme for a 65nm 32×32b 4-read 2-write register fileJun Han, / Xingxing Zhang, / Baoyu Xiong, / Zhiyi Yu, / Xiaoyang Zeng, et al. | 2011
- 743
-
Reflection analysis of signal transmission in 32-bit CPU based SiPZerong Tao, / Liji Wu, / Xiangmin Zhang, et al. | 2011
- 747
-
Research on testing of 32-bit CPU based SiPChunlin Xie, / Liji Wu, / Xiangmin Zhang, et al. | 2011
- 751
-
A security processor based on MIPS 4KE architectureShuai Wang, / Yang Li, / Junbao Liu, / Jun Han, / Xiaoyang Zeng, et al. | 2011
- 755
-
Design of a reconfigurable network interface processorLei Zhang, / Tao Li, / Zhentao Li, / Lin Jiang, et al. | 2011
- 760
-
A hardware accelerator for speech recognition applicationsTao Chen, / Jiawei Zheng, / Xingsi Zhang, / Shengchang Cai, / Yun Chen, et al. | 2011
- 764
-
AProgrammable IP Core for LDPC Decoder Based onASIPJun Deng, / Bing Li, / Lintao Liu, / Rui Chen, et al. | 2011
- 768
-
Parallel structure of GF (214) and GF (216) multipliers based on composite finite fieldsJianing Su, / Zhenghao Lu, et al. | 2011
- 772
-
A new method to improve the unconditional stability of InGaP/GaAs heterojunction bipolar transistorShanggong Feng, / Yanhu Chen, / Huijun Li, / Minghua Zhang, et al. | 2011
- 775
-
An accurate physics-based method for calculating DC inductance of on-chip square multi-layer inductorsJinran Du, / Wanghui Zou, / Xuecheng Zou, et al. | 2011
- 779
-
Dual frequency based Real Time Location System using passive UHF RFIDJunjuan Liu, / Xi Tan, / Hao Min, et al. | 2011
- 783
-
A reconfigurable linear array processor architecture for data parallel and computation intensive applicationsYucheng Liu, / Jing Xie, / Zhigang Mao, et al. | 2011
- 787
-
A permutation network for configurable and scalable FFT processorsShuai Chen, / Jialin Chen, / Kanwen Wang, / Wei Cao, / Lingli Wang, et al. | 2011
- 791
-
Comparison of 2D MESH routing algorithm in NOCPan Hao, / Hong Qi, / Du Jiaqin, / Pan Pan, et al. | 2011
- 796
-
Simulation of carrier transport in quantum cascade lasersYingying Li, / Guo-Ping Ru, / Li, Z.-M Simon et al. | 2011
- 800
-
Design of a UHF RFID tag baseband with the hummingbird cryptographic engineMengqin Xiao, / Xiang Shen, / Junyu Wang, / Crop, J. et al. | 2011
- 804
-
A study on channel polarization and polar codingYichao Lu, / Goto, S. et al. | 2011
- 808
-
A novel linear power amplifier for 2.6GHz LTE applicationsJianbao Deng, / Shilin Zhang, / Luhong Mao, / Sheng Xie, / Huichao Li, et al. | 2011
- 812
-
Design of a monolithic low-power micro-sensor signal processing systemZhuping Wang, / Keshu Zhang, et al. | 2011
- 816
-
TSV based 3D IC wire length calculation algorithmLigang Hou, / Shu Bai, / Jinhui Wang, et al. | 2011
- 820
-
A new low power symmetric folded cascode amplifier by recycling current in 65nm CMOS technologyXiao Zhao, / Huajun Fang, / Jun Xu, et al. | 2011
- 824
-
Ultra low voltage, wide tuning range voltage controlled ring oscillatorLi Tianwang, / Jiang Jinguang, / Ye Bo, / Han Xingcheng, et al. | 2011
- 828
-
A 2.5V supply low noise CMOS amplifier using noise reduction technique of Chopper stabilizationYahyatabar, H. / Razaghian, F. / Yahyavi, M. / Nezhad, M. H. et al. | 2011
- 834
-
Efficient floating random walk algorithm for interconnect capacitance extraction considering multiple dielectricsGang Hu, / Wenjian Yu, / Hao Zhuang, / Shan Zeng, et al. | 2011
- 838
-
A dual mode high efficiency buck DC-DC converterXu Gong, / Jinguang Jiang, / Xifeng Zhou, / Zhongzhi Yuan, et al. | 2011
- 843
-
A novel transimpedance amplifier for 10 Gbit/s optical communication systemTaiyi Huang, / Qihui Zhang, / Weifeng Zhang, et al. | 2011
- 847
-
Single event upset mitigation for FDP2008Meng Yang, / Gengsheng Chen, et al. | 2011
- 850
-
Electro-thermal model extraction of power GaN HEMT using I–V pulsed and DC measurementsZhifu Hu, / Xuebang Gao, / Shujun Cai, et al. | 2011
- 854
-
Characterization and analysis of pattern dependent variation-aware interconnects for a 65nm technologyLele Jiang, / Xiaojing Qin, / Lifu Chang, / Yuhua Cheng, et al. | 2011
- 858
-
Integrated Gm-C based PI controller for MEMS gyroscope drive loopHuan-ming Wu, / Hai-gang Yang, / Xiao-yan Cheng, / Tao Yin, / Jiwei Jiao, et al. | 2011
- 862
-
A subthreshold MOSFET bandgap reference with ultra-low power supply voltageYilei Li, / Yu Wang, / Na Yan, / Xi Tan, / Hao Min, et al. | 2011
- 866
-
A highly linear wideband variable gain CMOS balun-LNAHui Wang, / Taotao Yan, / Dongpo Chen, / Jianjun Zhou, et al. | 2011
- 870
-
A 65nm 10MHz single-inductor dual-output switching buck converter with time-multiplexing controlMiao Yang, / Weifeng Sun, / Shen Xu, / Shengli Lu, / Longxing Shi, et al. | 2011
- 874
-
Design and implementation of pipelined TMVP multiplier using block recombinationXiao Ma, / Guoqiang Bai, et al. | 2011
- 878
-
A novel low THD 4-quadrant analog multiplier using feedforward compensation for PFCYani Li, / Yintang Yang, / Zhangming Zhu, / Wei Qiang, et al. | 2011
- 882
-
An inductorless CMOS LNA with single input and differential outputJinguang Jiang, / Qingyun Li, / Xifeng Zhou, et al. | 2011
- 886
-
A new nonlinear parameterized model order reduction technique combining the interpolation method and Proper Orthogonal DecompositionZhiyu Xu, / Xinnan Lin, / Hao Zhuang, / Bo Jiang, / Haijun Lou, / Jin He, et al. | 2011
- 890
-
A 0.6 ppm/°C current-mode bandgap with second-order temperature compensationYilei Li, / Yu Wang, / Na Yan, / Xi Tan, / Hao Min, et al. | 2011
- 894
-
A 12-bit 50-MSPS SHA-less opamp-sharing Analog-to-Digital converter in 65nm CMOSChen Shu, / Guanghua Shu, / Jun Xu, / Fan Ye, / Junyan Ren, et al. | 2011
- 898
-
A sixth-order Chebyshev low-pass filter for single-chip UHF RFID readerJiang Chen, / Shilin Zhang, / Luhong Mao, et al. | 2011
- 902
-
A realizable reconstruction filter for sampled data systemsLiaquat, M. / Malik, M. B. et al. | 2011
- 906
-
Optimization of ADM with both restrictions of resolution and power dissipation in low supply voltageShujuan Yin, / Xiangyu Li, et al. | 2011
- 910
-
A timing-perspective study on the wire model in placementLiu Liu, / Yongqiang Lu, / Qiang Zhou, et al. | 2011
- 914
-
Design for testability of FFT/IFFT IP core for UWB systemsWeilu Su, / Longzhao Shi, et al. | 2011
- 918
-
A CMOS hysteresis undervoltage lockout with current source inverter structureChao Zhang, / Zhijia Yang, / Zhipeng Zhang, et al. | 2011
- 922
-
A high linearity MOS capacitor for low voltage applicationsShujuan Yin, et al. | 2011
- 925
-
A low-kickback preamplifier with offset cancellation for pipelined folding A/D ConverterXiaojuan Li, / Yintang Yang, / Zhangming Zhu, et al. | 2011
- 929
-
Auto-assign method for large scale flip-chip package designHaitao Han, / Wen Yin, / Wenqian Wang, / Zegui Pang, et al. | 2011
- 933
-
A 60GHz power amplifier using 90-nm RF-CMOS technologyNan Zhang, / Lingling Sun, / Jincai Wen, / Jun Liu, / Jia Lou, / Guodong Su, / He Li, et al. | 2011
- 937
-
The design and verification of SEU-hardened configurable DFFXinrui Zhang, / Liguang Chen, / Liyun Wang, / Jian Wang, / Jinmei Lai, et al. | 2011
- 941
-
Efficient temporal task partition for coarse-grain reconfigurable systems based on Simulated Annealing Genetic AlgorithmYifan Zhou, / Weiguang Sheng, / Xie Liu, / Weifeng He, / Zhigang Mao, et al. | 2011
- 945
-
Improved algorithm for Pareto front computation for CMOS OpAmp based on multi-objective genetic optimizationPeng Chen, / Yushun Guo, et al. | 2011
- 949
-
Calibration method considering second-order error term of timing skew for a novel multi-channel ADCYong-sheng Yin, / Rui Zhang, / Jun Yang, / Ming-lun Gao, et al. | 2011
- 953
-
Large-signal MOSFET modeling by means of knowledge based fuzzy logic systemLiyuan Wang, / Yushun Guo, et al. | 2011
- 957
-
Design and application of reusable SoC verification platformLulu Feng, / Zibin Dai, / Wei Li, / Jianlei Cheng, et al. | 2011
- 961
-
New power rail ESD clamp design with current starving technologyBo Li, / Liji Wu, / Xiangmin Zhang, et al. | 2011
- 965
-
Design and implementation of a low Power Java Coprocessor for dual-interface IC Bank CardJunwei He, / Liji Wu, / Xiangmin Zhang, et al. | 2011
- 970
-
A method to build reconfigurable architectures by extracting common subgraphsTianyun Zhang, / Rui Zhang, / Lingli Wang, / Yu Hu, et al. | 2011
- 974
-
A novel high-accuracy clock stabilizer with 50% duty cycleBiye Xu, / Lenian He, et al. | 2011
- 978
-
A 1.2 V 70 mA low drop-out voltage regulator in 0.13 µm CMOS processQin Wu, / Wei Li, / Ning Li, / Junyan Ren, et al. | 2011
- 982
-
Compensator design for digital controlled switched-mode power suppliesLing Lin, / Jianping Qiu, / Lenian He, et al. | 2011
- 986
-
A 1.2-V 250-MS/s 8-bit pipelined ADC in 0.13-µm CMOSPeiyuan Wan, / Wei Lang, / Di Fang, / Wei Cui, / Pingfen Lin, et al. | 2011
- 990
-
A novel RSD correction for pipeline ADCDawei Fu, / Lenian He, / Biye Xu, et al. | 2011
- 994
-
A digital sliding mode controller for switching power supply convertersGuannan Xu, / Chen Jia, / Chun Zhang, / Zhihua Wang, et al. | 2011
- 998
-
A low-power Gm-R-C image rejection filter for complex low-IF receiverHao Li, / Hong Zhang, / Xunwei Weng, / Ruizhi Zhang, et al. | 2011
- 1002
-
A 4GS/s 3b two-way time-interleaved ADC in 0.13um CMOSChunchen Gu, / Yi Zhao, / Zhiliang Hong, et al. | 2011
- 1006
-
A low power 1.0 GHz VCO in 65nm-CMOS LP-processZhang Zhang, / Zhiyi Yu, / Xu Cheng, / Xiaoyang Zeng, et al. | 2011
- 1010
-
A charge-pump circuit to restrain reference spurs in the PLLChanghong Huan, / Xiushan Wu, / Dan Wang, et al. | 2011
- 1014
-
A wide lock-range, low jitter phase-locked loop for multi-standard SerDes applicationShaolong Liu, / Hui Wang, / Yuhua Cheng, et al. | 2011
- 1018
-
Ultralow-power analog front-end IC design for an implantable cardioverter defibrillator (ICD)Weibo Hu, / Yen-Ting Liu, / Tam Nguyen, / Dsouza, B. / Lie, D. Y. C. et al. | 2011
- 1022
-
A 60GHz 16QAM/8PSK/QPSK/BPSK direct-conversion transceiverOkada, K. et al. | 2011
- 1026
-
An area-efficient dual-channel RF receiver for GPS-L1/Galileo-E1/Compass-B1Hongliang Tian, / Dongpo Chen, / Tingting Mo, / Jianjun Zhou, et al. | 2011
- 1030
-
A 0.8-3GHz 40dB dynamic range CMOS variable-gain amplifierXingli Huang, / Xi Qin, / Yajie Qin, / Hao Fang, / Zhiliang Hong, et al. | 2011
- 1034
-
A low-noise WCDMA transmitter with 25%-duty-cycle LO generator in 65nm CMOSHaiyi Wang, / Peichen Jiang, / Tingting Mo, / Jianjun Zhou, et al. | 2011
- 1038
-
Power amplifier driver for SDR transmitter with high gain tuning range and dynamic power controlYilei Li, / Kefeng Han, / Na Yan, / Xi Tan, / Hao Min, et al. | 2011
- 1042
-
A 0.18µm CMOS 2.5Gbps pre-amplifier with AGCLin Shaoheng, et al. | 2011
- 1046
-
Aluminum Nitride reconfigurable RF-MEMS front-endsTazzoli, A. / Rinaldi, M. / Zuo, C. / Sinha, N. / Van Der Spiegel, J. / Piazza, G. et al. | 2011
- 1050
-
A low noise and highly linear 2.4-GHz RF front-end circuit for wireless sensor networksChihoon Choi, / Joonwoo Choi, / Ilku Nam, et al. | 2011
- 1054
-
An auto-calibrating I/Q mismatch scheme for high image rejection GPS RF receiverLijiong Wang, / Tingting Mo, / Dongpo Chen, et al. | 2011
- 1058
-
A dual-mode analog baseband utilizing digital-assisted calibration for WCDMA/GSM receiversRenzhong Xie, / Chen Jiang, / Weinan Li, / Yumei Huang, / Zhiliang Hong, et al. | 2011
- 1062
-
A 0.25dB gain step high linear programmable gain amplifierXiaobin Shen, / Taotao Yan, / Yuxiao Lu, / Jianjun Zhou, et al. | 2011
- 1066
-
Reconfigurable low pass filter with Automatic Frequency Tuning for WCDMA and GSM applicationChen Jiang, / Renzhong Xie, / Weinan Li, / Yumei Huang, / Zhiliang Hong, et al. | 2011
- 1070
-
A wide tuning range low-pass Gm-C filter for multi-mode wireless receivers with automatic frequency calibrationYu Wang, / Na Yan, / Hao Min, et al. | 2011
- 1074
-
RFIDsense: A reconfigurable RFID sensor tag platform conforming to IEEE 1451.7 standardFeibai Zhu, / Min Li, / Haichao Han, / Junyu Wang, et al. | 2011
- 1078
-
Directional coupler design in 3G/LTE Power Amplifier Module (invited paper)Xiao Wang, / Wenjun Sheng, / Yang Li, et al. | 2011
- 1082
-
SiGe HBT Power Amplifier design using 0.35 µm BiCMOS technology with through-silicon-viaJingyang Zhang, / Wang, D. / Hanyi Ding, / Gillis, J. / Wan Ni, / Sweeney, S. / Dasheng Fang, et al. | 2011
- 1086
-
A 0.8–2.5GHz wideband SiGe BiCMOS low noise amplifier with noise fiugre of 1.98–3.3dBLin Hua, / Qiong Yan, / Lei Chen, / Runxi Zhang, / Chunqi Shi, / Zongsheng Lai, et al. | 2011
- 1090
-
Design of a high-linearity RF front-end with IP2 calibration for SAW-less WCDMA receiversSong Hu, / Weinan Li, / Yumei Huang, / Zhiliang Hong, et al. | 2011
- 1094
-
Architecture and design automation for application-specific processorsBrisk, P. et al. | 2011
- 1098
-
High-Level Synthesis: On the path to ESL designCoussy, P. / Heller, D. / Chavet, C. et al. | 2011
- 1102
-
A study of high-level synthesis: Promises and challengesRupnow, K. / Yun Liang, / Yinan Li, / Deming Chen, et al. | 2011
- 1106
-
On virtual prototyping of embedded system-on-chipsYi Ni, / Wai Sum Mong, / Jianwen Zhu, et al. | 2011
- c1
-
[Front cover]| 2011
- I
-
ASICON 2011 organization| 2011
- ii
-
Author index| 2011
- VII
-
Welcome to ASICON 2011Ting-Ao Tang, et al. | 2011
- VIII
-
ASICON 2011 sponsorship| 2011
- X
-
Technical session index| 2011