Investigation of scalability for Ge and InGaAs channel multi-gate NMOSFETs (Englisch)
- Neue Suche nach: Wu, Yu-Sheng
- Neue Suche nach: Chun-Hsien Chiang,
- Neue Suche nach: Pin Su,
- Neue Suche nach: Wu, Yu-Sheng
- Neue Suche nach: Chun-Hsien Chiang,
- Neue Suche nach: Pin Su,
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Investigation of scalability for Ge and InGaAs channel multi-gate NMOSFETs
-
Beteiligte:
-
Erschienen in:
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.04.2012
-
Format / Umfang:325565 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Multi-input/multi-output relay design for more compact and versatile implementation of digital logic with zero leakageNathanael, Rhesa / Jeon, Jaeseok / Chen, I-Ru / Chen, Yenhao / Chen, Fred / Kam, Hei / Liu, Tsu-Jae King et al. | 2012
- 1
-
Nano carbon devices and applicationsMatsumoto, Kazuhiko / Oho, Yasuhide / Maehashi, Kenoz / Kamimura, Takafumi / Inoue, Koichi / Hayashi, Yutaka et al. | 2012
- 1
-
Author index| 2012
- 1
-
On the amplitude of random telegraph noiseCheung, Kin P. / Campbell, J.P. / Potbhare, S. / Oates, A. et al. | 2012
- 1
-
Understanding and improving SILC behavior under TDDB stress in full gate-last high-k/metal gate nMOSFETsJo, Minseok / Chang Young Kang, / Kah-Wee Ang, / Huang, Jeff / Kirsch, Paul / Jammy, Raj et al. | 2012
- 1
-
A 20nm low-power triple-gate multibody 1T-DRAM cellGamiz, F. / Rodriguez, N. / Cristoloveanu, S. et al. | 2012
- 1
-
Table of contents| 2012
- 1
-
Superior filament formation control in HfO2 based RRAM for high-performance low-power operation of 1 µA to 20 µA at +/− 1VGilmer, D.C. / Koveshnikov, S. / Butcher, B. / Bersuker, G. / Kalantarian, A. / Sung, M. / Geer, R. / Nishi, Y. / Kirsch, P. / Jammy, R. et al. | 2012
- 1
-
Transition of memory technologiesKim, SangBum / Lam, Chung H. et al. | 2012
- 1
-
Reliability challenges of advanced CMOS process and product development: design and application aware qualificationPark, Jongwoo et al. | 2012
- 1
-
Scaling behavior of PCM cells in off-state conductionChen, J. / Jeyasingh, R.G.D. / Gao, B. / Lu, Y. / Deng, Y. X. / Liu, X. Y. / Kang, J. F. / Philip Wong, H.-S. et al. | 2012
- 1
-
Body effect induced variability in Bulk tri-gate MOSFETsChun-Hsien Chiang, / Ming-Long Fan, / Jack Jyun-Yan Kuo, / Pin Su, et al. | 2012
- 1
-
Impact of 45° rotated substrate on UTBOX FDSOI high-k metal gate technologyBen Akkez, I. / Fenouillet-Beranger, C. / Cros, A. / Perreau, P. / Haendler, S. / Weber, O. / Andrieu, F. / Pellissier-Tanon, D. / Abbate, F. / Richard, C. et al. | 2012
- 1
-
Challenges of III–V materials in advanced CMOS logicKirsch, P. D. / Hill, R. J. W. / Huang, J. / Loh, W.Y. / Kim, T.-W. / Wong, M. H. / Min, B. G. / Huffman, C. / Veksler, D. / Young, C. D. et al. | 2012
- 1
-
Systematical investigation and physical mechanism of HfO2 gate stacks band alignment, VFB shift and Fermi level pinningWang, X. L. / Wang, W. W. / Han, K. / Zhang, J. / Xiang, J. J. / Ma, X. L. / Yang, H. / Chen, D. P. / Ye, T. C. et al. | 2012
- 1
-
Emerging memory technology perspectiveBez, Roberto / Cappelletti, Paolo et al. | 2012
- 1
-
Transition to EUV lithographyWurm, Stefan et al. | 2012
- 1
-
Stabilization of resistive switching with controllable self-compliant Ta2O5-based RRAMChen, W. S. / Wu, T. Y. / Yang, S. Y. / Liu, W. H. / Lee, H. Y. / Chen, Y. S. / Tsai, C. H. / Gu, P. Y. / Tsai, K. H. / Chen, P. S. et al. | 2012
- 1
-
P-channel Schottky barrier nanowire SONOS memory with low-voltage operations and excellent reliabilityWei Chang, / Chun-Hsing Shih, / Wen-Fa Wu, / Chenhsin Lien, et al. | 2012
- 1
-
Impacts of wire-LER on Nanowire MOSFET devices, subthreshold SRAM and logic circuitsMing-Fu Tsai, / Lu, Barney K. / Fan, Ming-Long / Chia-Hao Pao, / Yin-Nien Chen, / Vita Pi-Ho Hu, / Pin Su, / Ching-Te Chuang, et al. | 2012
- 1
-
ForewordCheung, Kin P. et al. | 2012
- 1
-
Gate-first TiAlN P-gate electrode for cost effective high-k metal gate implementationNi, C.-N / Fu, X. / Yoshida, N. / Chan, O. / Jin, M. / Chen, H. / Hung, S. / Jakkaraju, R. / Kesapragada, S. / Lazik, C. et al. | 2012
- 1
-
PBTI improvement in gate last HfO2 gate dielectric nMOSFET due to Zr incorporationDeora, S. / Bersuker, G. / Young, C. D. / Huang, J. / Matthews, K. / Ang, K. -W. / Nagi, T. / Hobbs, C. / Kirsch, P. D. / Jammy, R. et al. | 2012
- 1
-
Novel selenium implant and segregation for reduction of effective Schottky barrier height in NiGe/n-Ge contactsYi Tong, / Bin Liu, / Phyllis Shi Ya Lim, / Qian Zhou, / Yee-Chia Yeo, et al. | 2012
- 1
-
Modeling and tuning the filament properties in RRAM metal oxide stacks for optimized stable cyclingDegraeve, R. / Goux, L. / Clima, S. / Govoreanu, B. / Chen, Y.Y. / Kar, G.S. / Rousse, Ph. / Pourtois, G. / Wouters, D.J. / Altimime, L. et al. | 2012
- 1
-
Planar interconnects to 3D interconnectsArkalgud, Sitaram R. et al. | 2012
- 1
-
Review of 3D high density storage class memory (SCM) architectureLee, Brian et al. | 2012
- 1
-
AlGaN/GaN-on-Silicon MOS-HEMTs with breakdown voltage of 800 V and on-state resistance of 3 mΩ.cm2 using a CMOS-compatible gold-free processXinke Liu, / Chunlei Zhan, / Kwok Wai Chan, / Wei Liu, / Leng Seow Tan, / Kie Leong Teo, / Kevin Jing Chen, / Yee-Chia Yeo, et al. | 2012
- 1
-
The 2012 ARM powered compute subsystem - delivering the smart handheld platformWhitfield, Tim et al. | 2012
- 1
-
Characteristics of HfZrOx gate stack engineering for reliability improvement on 28nm HK/MG CMOS technologyTsai, C.H. / Yang, C.W. / Hsu, C.H. / Lai, C.M. / Lo, K.Y. / Chen, C.G. / Huang, R.M. / Tsai, C.T. / Hung, L. S. / You, J.W. et al. | 2012
- 1
-
A high density cylinder-type MIM capacitor integrated with advanced 28nm logic High-K/Metal-Gate process for embedded DRAMTu, K.C. / Wang, C.C. / Hsieh, Y.T. / Ting, Y.W. / Chang, C.Y. / Pai, C.Y. / Tzeng, K.C. / Chu, H.C. / Lin, H.L. / Chang, Y.W. et al. | 2012
- 1
-
Optimization of control gate material and structure for enhancing 20nm 64Gb NAND flash reliabilityHae Soo Kim, / Kang Jae Lee, / Kwang Hee Han, / Seok Won Cho, / Se Kyoung Choi, / Shin Won Seo, / Jae Hyun Chung, / Keun Woo Lee, / Sung Jae Chung, / Keum Hwan Noh, et al. | 2012
- 1
-
On the rseries extraction techniques for sub-22nm CMOS finfet and SiGe technologiesPantisano, Luigi / Zschaetzsch, G. / Hellings, G. / Krom, R. / Lee, S.-H. / Ritzenthaler, R. / Mitard, J. / Eneman, G. / Roussel, Ph.J. / Chiarella, T. et al. | 2012
- 1
-
Impact of thermal budget on dopant-segregated (DS) metal S/D gate-all-around (GAA) PFETsAkarvardar, K. / Rodgers, M. / Kaushik, V. / Johnson, C.S. / Ok, I. / Ang, K.-W. / Stamper, H. / Bennett, S. / Franca, D. / Rao, M. et al. | 2012
- 1
-
Disruptive technologies for the future generation smart systemsLemmens, Peter et al. | 2012
- 1
-
Advanced channel and contact technologies for future CMOS devicesYee-Chia Yeo, et al. | 2012
- 1
-
New criteria for the RDF induced drain current variation considering strain and transport effects in strain-silicon CMOS devicesHsieh, E. R. / Chung, Steve S. / Wang, J. -C. / Lai, C. S. / Tsai, C. H. / Huang, R. M. / Tsai, C. T. / Liang, C. W. et al. | 2012
- 1
-
New observations on the AC random telegraph noise (AC RTN) in nano-MOSFETsRunsheng Wang, / Jibin Zou, / Xiaoqing Xu, / Changze Liu, / Jinhua Liu, / Hanming Wu, / Yangyuan Wang, / Ru Huang, et al. | 2012
- 1
-
32nm strained nitride MTP cell by fully CMOS logic compatible processWen Chao Shen, / Huang, Chia-En / OuYang, Hsun / Ya-Chin King, / Chrong Jung Lin, et al. | 2012
- 1
-
Enabling the use of ion implantation for ultra-thin FDSOI n-MOSFETsVinet, M. / Kumar, A. / Grenouillet, L. / Ponoth, S. / Posseme, N. / Destefanis, V. / Mehta, S. / Loubet, N. / Le Tiec, Y. / Monsieur, F. et al. | 2012
- 1
-
Key enabling technologies of 300mm 3DIC process integrationPei-Jer Tzeng, / Yu-Chen Hsin, / Jui-Chin Chen, / Shang-Chun Chen, / Chien-Ying Wu, / Wen-Li Tsai, / Chung-Chih Wang, / Chi-Hon Ho, / Chien-Chou Chen, / Yi-Feng Hsu, et al. | 2012
- 1
-
A high efficient and compact charge pump with multi-pillar vertical MOSFETNa, Hyoungjun / Endoh, Tetsuo et al. | 2012
- 1
-
Emerging memory technologies: Challenges and opportunitiesDeSalvo, B. / Sousa, V. / Perniola, L. / Jahan, C. / Maitrejean, S. / Nodin, J.F. / Cagli, C. / Jousseaume, V. / Molas, G. / Vianello, E. et al. | 2012
- 1
-
Ultra-thin-body In0.7Ga0.3As-on-nothing N-MOSFET with Pd-InGaAs source/drain contacts enabled by a new self-aligned cavity formation technologyXiao Gong, / Zhu Zhu, / Kong, Eugene / Ran Cheng, / Subramanian, Sujith / Kian Hui Goh, / Yee-Chia Yeo, et al. | 2012
- 1
-
PMOSFET layout dependency with embedded SiGe Source/Drain at POLY and STI edge in 32/28nm CMOS technologySong, L. / Liang, Y. / Onoda, H. / Lai, C. W. / Wallner, T. A. / Pofelski, A. / Gruensfelder, C. / Josse, E. / Okawa, T. / Brown, J. et al. | 2012
- 1
-
Electrical characterization and reliability investigations of Cu TSVs with wafer-level Cu/Sn-BCB hybrid bondingChang, Y. J. / Ko, C. T. / Hsiao, Z. C. / Yu, T. H. / Chen, Y. H. / Lo, W. C. / Chen, K. N. et al. | 2012
- 1
-
Computer architecture for die stackingLoh, Gabriel H. et al. | 2012
- 1
-
Optimization of programming current on endurance of phase change memoryKim, S. / Du, P. Y. / Li, J. / Breitwisch, M. / Zhu, Y. / Mittal, S. / Cheek, R. / Hsu, T.-H. / Lee, M. H. / Schrott, A. et al. | 2012
- 1
-
Comparative study of geometry-dependent capacitances of planar FETs and double-gate FinFETs: Optimization and process variationChang-Woo Sohn, / Chang Yong Kang, / Rock-Hyun Baek, / Do-Young Choi, / Hyun Chul Sagong, / Eui-Young Jeong, / Jeong-Soo Lee, / Kirsch, Paul / Jammy, Raj / Lee, Jack C. et al. | 2012
- 1
-
Simple FinFET gate doping technique for dipole-engineered Vt tuning and CET scalingNgai, T. / Hobbs, C. / Veksler, D. / Matthews, K. / Ok, I. / Akarvardar, K. / Ang, K.W. / Huang, J. / Rodgers, M.P. / Vivekanand, S. et al. | 2012
- 1
-
Comparison of differential and large-signal sensing scheme for subthreshold/superthreshold FinFET SRAM considering variabilityFan, Ming-Long / Hu, Vita Pi-Ho / Yin-Nien Chen, / Pin Su, / Ching-Te Chuang, et al. | 2012
- 1
-
Suppressed soft-errors and highly reduced current for HfOX based unipolar RRAM by inserting AlOX layerYu-Sheng Chen, / Heng-Yuan Lee, / Pang-Shiu Chen, / Kan-Hsueh Tsai, / Tai-Yuan Wu, / Wei-Su Chen, / Chen-Han Tsai, / Pei-Yi Gu, / Yi-Ying Liao, / Frederick Chen, et al. | 2012
- 1
-
Z2-FET: A zero-slope switching device with gate-controlled hysteresisWan, J. / Le Royer, C. / Zaslavsky, A. / Cristoloveanu, S. et al. | 2012
- 1
-
Gaining 10x in energy efficiency in the next decade in consumer productsMagarshack, Philippe / Cathelin, Andreia et al. | 2012
- 1
-
Google's C/C++ toolchain for smart handheld devicesDoug Kwan, / Jing Yu, / Janakiraman, Bhaskar et al. | 2012
- 1
-
III–V gate stack interface improvement to enable high mobility 11nm node CMOSChen, Y.T. / Huang, J. / Price, J. / Lysaght, P. / Veksler, D. / Weiland, C. / Woicik, J. C. / Bersuker, G. / Hill, R. / Oh, J. et al. | 2012
- 1
-
Improvements in low temperature (<625°C) FDSOI devices down to 30nm gate lengthXu, C. / Batude, P. / Vinet, M. / Mouis, M. / Casse, M. / Sklenard, B. / Colombeau, B. / Rafhay, Q. / Tabone, C. / Berthoz, J. et al. | 2012
- 1
-
Simultaneous formation of electrical connection, mechanical support and hermetic seal with bump-less cu-cu bonding for 3D wafer stackingPeng, L. / Fan, J. / Li, H.Y. / Gao, S. / Tan, C. S. et al. | 2012
- 1
-
Improvement of resistive switching memory parameters using IrOx Nanodots in high-κ AlOx Cross-PointBanerjee, W. / Maikap, S. et al. | 2012
- 1
-
Investigation of scalability for Ge and InGaAs channel multi-gate NMOSFETsWu, Yu-Sheng / Chun-Hsien Chiang, / Pin Su, et al. | 2012
- 1
-
Welcome from the general chairsBrillouet, Michel / Jou, Shyh-Jye / Yue, Patrick et al. | 2012
- 1
-
Advances in computingYa-Qin Zhang, et al. | 2012
- 1
-
A study of novel ALD beryllium oxide as an interface passivation layer for Si MOS devicesYum, J. H. / Bersuker, G. / Hudnall, Todd. W. / Bielawski, C. W. / Kirsch, P. / Banerjee, S. K. et al. | 2012
- 1
-
Thin-body FinFET as scalable low voltage transistorHu, Chenming et al. | 2012
- 1
-
Optimizing state-of-the-art 28nm core/SRAM device performance by cryo-implantation technologyYang, C. L. / Li, C. I. / Lin, G. P. / Chen, W. J. / Tsai, C. H. / Huang, Y. S. / Fu, C. / Lu, T. Y. / Wang, H. Y. / Hsu, B. C. et al. | 2012
- 1
-
MOSFETs transitions towards fully depleted architecturesVinet, Maud et al. | 2012
- 1
-
PBTI characteristics of N-channel tunneling field effect transistor with HfO2 gate dielectric: New insights and physical modelGenquan Han, / Yue Yang, / Pengfei Guo, / Chunlei Zhan, / Kain Lu Low, / Kian Hui Goh, / Bin Liu, / Eng-Huat Toh, / Yee-Chia Yeo, et al. | 2012
- 1
-
Emerging touch techniques in smart handheld deviceLin Lin, / Chien, Weber et al. | 2012
- 1
-
Impact of fin height variations on SRAM yieldDobrovolny, Petr / Zuber, Paul / Miranda, Miguel / Garcia Bardon, Maria / Chiarella, Thomas / Buchegger, Peter / Mercha, Karim / Verkest, Diederik / Steegen, An / Horiguchi, Naoto et al. | 2012
- 1
-
Intrinsic MOSFET leakage of high-k peripheral DRAM devices: Measurement and simulationRoll, Guntrade / Jakschik, Stefan / Goldbach, Matthias / Wachowiak, Andre / Mikolajick, Thomas / Frey, Lothar et al. | 2012
- 1
-
Logic/resistive-switching hybrid transistor for two-bit-per-cell storageWu, Shih-Chieh / Chieh Lo, / Tuo-Hung Hou, et al. | 2012
- 1
-
Transforming memory systems: Optimizing for client value on emerging workloadsNowka, Kevin J. et al. | 2012
- 1
-
Excellent resistive switching memory: Influence of GeOx in WOx mixtureRahaman, S. Z. / Maikap, S. / Chen, W. S. / Tien, T. C. / Lee, H. Y. / Chen, F. T. / Kao, M.-J. / Tsai, M.-J. et al. | 2012
- 1
-
Performance and variability in multi-VT FinFETs using fin dopingAkarvardar, K. / Young, C. D. / Veksler, D. / Ang, K.-W. / Ok, I. / Rodgers, M. / Kaushik, V. / Novak, S. / Nadeau, J. / Baykan, M. et al. | 2012
- 1
-
Organization [Committees and subcommittees]| 2012
- 1
-
Powerful smartphone solutions unleashing new technology innovationsHuang, Gary et al. | 2012
- 1
-
Embedded Metal Source/Drain (eMSD) for series resistance reduction in In0.53Ga0.47As n-channel Ultra-Thin Body Field-Effect Transistor (UTB-FET)Subramanian, Sujith / Ivana, / Yee-Chia Yeo, et al. | 2012
- 1
-
Metal stanogermanide contacts with enhanced thermal stability for high mobility germanium-tin field-effect transistorLanxiang Wang, / Genquan Han, / Shaojian Su, / Qian Zhou, / Yue Yang, / Pengfei Guo, / Wei Wang, / Yi Tong, / Lim, Phyllis Shi Ya / Chunlai Xue, et al. | 2012
- c1
-
[Front cover]| 2012