Preparation of low-k nanoporous SiO/sub 2/ films by plasma-enhanced chemical vapor deposition (Englisch)
- Neue Suche nach: Lenian He,
- Neue Suche nach: Jin Xu,
- Neue Suche nach: Lenian He,
- Neue Suche nach: Jin Xu,
In:
2001 6th International Conference on Solid-State and Integrated Circuit Technology. Proceedings (Cat. No.01EX443)
;
1
;
365-368 vol.1
;
2001
-
ISBN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Preparation of low-k nanoporous SiO/sub 2/ films by plasma-enhanced chemical vapor deposition
-
Beteiligte:Lenian He, ( Autor:in ) / Jin Xu, ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.01.2001
-
Format / Umfang:205768 byte
-
ISBN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 0_1
-
2001 6th International Conference on Solid-State and Integrated Circuit Technology. Proceedings (Cat. No.01EX443)| 2001
- 0_1
-
2001 6th International Conference On Solid-State And Integrated-Circuit Technology Proceedings| 2001
- 1
-
DCIV diagnosis for submicron MOS transistor design, process, reliability and manufacturingChih-Tang Sah, et al. | 2001
- 16
-
Device technology for internet and mobile wizardIkegami, T. et al. | 2001
- 16 vol.1
-
Driving technology for Internet and mobile wizardIkegami, T. et al. | 2001
- 17
-
Integrated communications microsystemsPinto, M.R. et al. | 2001
- 19
-
MEMS technology for biomedical applicationsPolla, D.L. et al. | 2001
- 23
-
Circuit, MOSFET, and front end process integration trends and challenges for the 180 nm and below technology generations: an International Technology Roadmap for Semiconductors perspectiveZeitzoff, P.M. et al. | 2001
- 23
-
Circuit, MOSFET, and front end process integration trends and challenges for the 180 nm and below: an international technology roadmap for semiconductors perspectiveZeitzoff, P. M. et al. | 2001
- 29
-
ULSI technologies for system-on-a-chip (SoC) for the next 10 yearsKawamura, S. et al. | 2001
- 34
-
Advanced CMOS 'system on a chip' technology platforms-status today and outlook tomorrowMahnkopf, R. et al. | 2001
- 34
-
Advanced CMOS `system on a chip' technology platforms - status today & outlook tomorrowMahnkopf, R. et al. | 2001
- 40
-
The potential and realization of multi-layers three-dimensional integrated circuitMansun Chan, et al. | 2001
- 46
-
Multilevel interconnect technologies in SoC and SiP for 100-nm node and beyondOhba, T. et al. | 2001
- 52
-
Challenges in sub-0.13 /spl mu/m front-end-of-line processesJia-Zhen Zheng, / Liang-Choo Hsia, et al. | 2001
- 52
-
Challenges in sub-0.13 mu m front-end-of-line processZheng, J.-Z. / Hsia, L.-C. et al. | 2001
- 58
-
3D integrated circuit using large grain polysilicon filmChan, V.W.C. / Chan, P.C.H. / Mansun Chan, et al. | 2001
- 62
-
High performance logic technology-scaling trend and future challengesYang, S. et al. | 2001
- 68
-
High performance 70 nm CMOS device and key technologiesXu Qiuxia, / Qian He, / Yin Huaxiang, / Jia Lin, / Ji Honghao, / Chen Baoqin, / Zhu Yajiang, / Liu Min, et al. | 2001
- 74 vol.1
-
The semiconductor foundry business and technology trendLee, W.W. / Chao, Y.C. et al. | 2001
- 75
-
Large scale manufacturing challenges of bipolar/BiCMOS processes in CMOS production linesVerma, P.R. / Chu, S. / Shafi, A. / Lim, S. / Khoo Yong, / Yeo Chak Huat, et al. | 2001
- 79
-
Yield enhancement for deep-submicron CMOS process by optimizing gate poly dimensionYang Bin, / Sanford Chu, / Shen Wei, / Ng Chit Hwei, / Jia Tanli, et al. | 2001
- 83
-
Progress in development of advanced package for semiconductor deviceHongyu Zheng, / Ling Gao, / Zhiping Liu, et al. | 2001
- 88
-
Mechanical behavior of Pb-free soldersHua, F. / Garner, C. M. / Song, H. G. / Morris, J. W. et al. | 2001
- 94
-
Specialized photolithography equipment and thick photo resist for wafer level packaging and wafer bumpingToennies, D. / Cullmann, E. / Li Gong, et al. | 2001
- 100
-
Integrated circuit cofired laminated ceramic package antennaZhang, Y.P. et al. | 2001
- 104
-
Optimum VLD makes SPIC better and cheaperChen Xing-bi, / Fan Xue-feng, et al. | 2001
- 109
-
A study on thermal stress of power IC die bondingYangang Wang, / Yajie Wang, / Xueqing Cui, / Wuchen Wu, et al. | 2001
- 113
-
Discussion on the methodology of neural network hardware design and implementationYingang Wang, / Zuocheng Ma, / Huaxiang Lu, / Shoujue Wang, et al. | 2001
- 117
-
Integrated high-voltage detector in 600V SPIC by using FFLRHan Lei, / Chen Xing-Ning, / Ye Xing-ning, et al. | 2001
- 120
-
IGBT with dynamic controlled anode-short used in power ICYang Hong-qiang, / Chen Xing-bi, et al. | 2001
- 123
-
Hardware realization of building blocks for artificial neural networkLu, C. / Shi, B.-x. / Chen, L. et al. | 2001
- 123
-
Hardware realization of building blocks for artificial neural networksChun Lu, / Bing-xue Shi, / Lu Chen, et al. | 2001
- 127
-
Electrical characteristics of a new lateral trench electrode IGBT for smart power ICEy Goo Kang, / Seung Hyun Moon, / Man Young Sung, et al. | 2001
- 131
-
Recursive training for multi-resolution fuzzy min-max neural network classifierChen Xi, / Jin Dongming, / Li Zhijian, et al. | 2001
- 135
-
Summary of HV power ICs protecting circuit designYan Han, / Jiahua Chen, / Jian Liang, et al. | 2001
- 139
-
A novel lateral trench IGBT employing the p+ diverter having superior forward blocking and latch-up characteristicsEy Goo Kang, / Seung Hyun Moon, / Man Young Sung, et al. | 2001
- 143
-
Analysis of high pulse power generation using novel excitation of IGBTTsai-Sheng Liao, / Yu, P. / Zucker, O. et al. | 2001
- 149
-
Silicon power devices: RF power transistor & electric power deviceYing-Kun Liu, / Tong Zhao, / Song-Fa Li, et al. | 2001
- 155
-
A high frequency, high power VDMOSFETYing-Kun Liu, / Jian-Guo Deng, / Xiu-Lan Lang, / Ying-Qiu Zhang, / Jian Wu, / Ming-Hui Zhou, / Song-Fa Li, / Chun-Guang Liang, et al. | 2001
- 159
-
The research on breakdown voltage of high voltage SOI LDMOS devices with shielding trenchQiyu Liu, / Zhaoji Li, / Bo Zhang, / Jian Fang, et al. | 2001
- 162
-
A new single gate MOS controlled thyristor with current saturation and large SOAHuang, S. / Amaratunga, G.A.J. / Udrea, F. / Waind, P. / Coulbeck, L. / Taylor, P. et al. | 2001
- 166
-
High speed LIGBT with localized lifetime control by using high dose and low energy helium implantationJian Fang, / Zhaoji Li, / Hongyan Li, / Jian Yang, et al. | 2001
- 170
-
Modeling and analysis of 55 mm 4.5-kV MTOBo Zhang, / Huang, A.Q. et al. | 2001
- 174
-
A novel RESURF LDMOS with embedded CB-layerJunjie Xie, / Yan Han, et al. | 2001
- 178
-
A 0.11 mu m DRAM technology for 4Gb DRAM and beyondKim, K. / Park, J.-S. et al. | 2001
- 178
-
A 0.11 /spl mu/m DRAM technology for 4Gb DRAM and beyondKinam Kim, / Joo-Sung Park, et al. | 2001
- 183
-
Backend process for cylindrical Ru/Ta~2O~5/Ru capacitor for future DRAMLin, J. / Suzuki, T. / Minakata, H. / Shimada, A. / Tsunoda, K. / Fukuda, M. / Kurahashi, T. / Fukuzumi, Y. / Hatada, A. / Sato, A. et al. | 2001
- 183
-
Backend process for cylindrical Ru/Ta/sub 2/O/sub 5//Ru capacitor for future DRAMLin, J. / Suzuki, T. / Minakata, H. / Shimada, A. / Tsunoda, K. / Fukuda, M. / Kurahashi, T. / Fukuzumi, Y. / Hatada, A. / Sato, A. et al. | 2001
- 189
-
Flash memory technology developmentZhu Jun, et al. | 2001
- 195
-
A high-endurance 96-Kbit FeRAM embedded in a smart card LSI using Ir/IrO2/PZT(MOCVD)/Ir ferroelectric capacitorsMori, H. / Tanabe, N. / Seike, A. / Takeuchi, H. / Yamada, J. / Miwa, T. / Koike, H. / Maejima, Y. / Tatsumi, T. / Kobayashi, S. et al. | 2001
- 200
-
The p-channel Si nano-crystal memoryHyungcheol Shin, / Ilgweon Kim, / Kwangseok Han, et al. | 2001
- 205
-
A multiple-valued single-electron SRAM by the PADOX processInokawa, H. / Fujiwara, A. / Takahashi, Y. et al. | 2001
- 209
-
Methodology of parameter and coupling ratio extraction for source side injection (SSI) flash cellSang-Pil Sim, / Kordesch, A. / Lee, B. / Ping Guo, / Chun-Mai Liu, / Kwyro Lee, / Yang, C.Y. et al. | 2001
- 213
-
A flexible SRAM compiler for embedded applicationYong Liu, / Zhiqiang Gao, et al. | 2001
- 217
-
An embedded NORST flash memory technologyPan Liyang, / Liu Kai, / Zeng Ying, / Guo Yinzi, / Zhu Jun, et al. | 2001
- 221
-
Noise and linearity optimization in SiGe HBT RF technologyGuofu Niu, et al. | 2001
- 227
-
Low phase noise and high output power VCO design for a fully integrated GSM RF transceiverLi, L.B. et al. | 2001
- 230
-
Design of SiGe/Si heterojunction bipolar transistor for RF mixer applicationYou-Lin Wu, / Yin-Hsin, H. / Tian-Shuan, L. / Huey-Liang Hwang, et al. | 2001
- 236
-
Polylithic integration of SAW devices using quartz-on-silicon process for true single chip radioYeonwoo Ku, / Yunseong Eo, / Kwyro Lee, et al. | 2001
- 240
-
A 100 MHz Gm-C analog equalizer for 100Base-TX applicationZhilong Tang, et al. | 2001
- 243
-
A scaleable metal-insulator-metal capacitors process for 0.35 to 0.18 mu m analog and RFCMOSShao, K. / Chu, S. / Chew, K.-W. / Wu, G.-P. / Ng, C.-H. / Tan, N. / Shen, B. / Yin, A. / Zheng, Z.-Y. et al. | 2001
- 243
-
A scaleable metal-insulator-metal capacitors process for 0.35 to 0.18 /spl mu/m analog and RFCMOSKai Shao, / Sanford Chu, / Kok-Wai Chew, / Guan-Ping Wu, / Chit-Hwei Ng, / Tan, N. / Shen, B. / Yin, A. / Zhe-Yuan Zheng, et al. | 2001
- 247
-
Distortion simulation of 90 nm nMOSFET for RF applicationsXuemei Xi, / Kanyu Cao, / Xiaodong Jin, / Hui Wan, / Mansun Chan, / Chenming Hu, et al. | 2001
- 251
-
Optimization of Q factor in spiral inductor on siliconZhengyuan Zhang, / Zhiyu Wen, / Shilu Xu, / Zhengfan Zhang, / Gang Chen, / Shanglian Huang, et al. | 2001
- 255
-
Nitrogen in Czochralski siliconDeren Yang, / Duanlin Que, et al. | 2001
- 261
-
Intelligent molecular beam epitaxy system for cost-effective manufacturing of compound semiconductor epi-wafersLee, H.P. et al. | 2001
- 267
-
Development of large diameter InP single crystalNiefeng Sun, / Xiawan Wu, / Xiang Wu, / Youwen Zhao, / Lixin Cao, / Quan Zhao, / Weilian Guo, / Ji Zhang, / Zhengping Zhao, / Keyun Bi, et al. | 2001
- 273
-
Pulsed laser annealing of zinc - implanted InPChen Chao, / Markevich, M.I. / Piskonov, F.A. / Chaplanov, A.M. / Ivlev, G.D. et al. | 2001
- 277
-
New intrinsic gettering process in Czochralski-silicon waferLi, Y.X. / Liu, C.C. / Guo, H.Y. / Wang, X. / Pan, M.X. / Xu, Y.S. / Yang, D.R. / Que, D.L. et al. | 2001
- 280
-
Growth of crystal silicon by PMCZ methodWeilian Zhang, / Baimei Tan, / Jiaxi Li, / Junsheng Sun, / Enhuai Zhang, et al. | 2001
- 284
-
Growth of Si-GaAs crystal under the equivalent micro-gravityYuesheng Xu, / Caichi Liu, / Yangxian Li, / Haiyun Wang, / Wen Zhang, / Qiuyan Hao, et al. | 2001
- 286
-
In doping on MBE grown HgCdTeWu Yan, / Chen Lu, / Wang Shan-Li, / Yu Mei-Fang, / Qiao Yi-Min, / He Li, et al. | 2001
- 290
-
As interstitials diffusion and its effects on native defects and electrical properties of undoped LECGaAs during annealingYang Ruixia, / Zhang Fuqiang, / Chen Nuofu, / Zhao Zhengping, et al. | 2001
- 294
-
The research of silicon wafer's polishing fogWeiguo Di, / Yuling Liu, / Baimei Tan, / Weiwei Li, / Ming Yang, et al. | 2001
- 297
-
High-k gate dielectrics for scaled CMOS technologyMa, T.P. et al. | 2001
- 303
-
High-k gate dielectrics for sub-100 nm CMOS technologyLee, S.J. / Lee, C.H. / Kim, Y.H. / Luan, H.F. / Bai, W.P. / Jeon, T.S. / Kwong, D.L. et al. | 2001
- 309
-
Novel ultra thin gate oxide growth technique by alternating current anodizationJenn-Gwo Hwu, / Chuang-Yuan Lee, / Chieh-Chih Ting, / Wei-Len Chen, et al. | 2001
- 315
-
Plasma charging damage in deep-submicron CMOS technology and beyondCheung, K.P. et al. | 2001
- 321
-
Structural and electrical properties of CeO~2/Si with nitrided interfacial layer by nitrogen ion beam bombardmentKang, J. / Liu, X. / Han, R. / Wang, Y. / Lian, G. J. / Xun, K. / Yu, D. P. / Xiong, G. C. / Wu, S. C. / Wang, Y. G. et al. | 2001
- 321
-
Structural and electrical properties of CeO/sub 2//Si with nitrided interfacial layer by nitrogen ion beam bombardmentJinfeng Kang, / Xiaoyan Liu, / Ruqi Han, / Yangyuan Wang, / Lian, G.J. / Kun Xun, / Yu, D.P. / Xiong, G.C. / Wu, S.C. / Wang, Y.G. et al. | 2001
- 325
-
Deposition of high k ZrO~2 thin films by high vacuum electron beam evaporation at room temperatureZhang, N. / Wan, Q. / Song, Z. / Shen, Q. / Lin, C. et al. | 2001
- 325
-
Deposition of high k ZrO/sub 2/ thin films by high vacuum electron beam evaporation at room temperatureNinglin Zhang, / Qing Wan, / Zhitang Song, / Qinwo Shen, / Chenglu Lin, et al. | 2001
- 329
-
Investigation of ultra-thin SiO~2 gate oxide characteristicsTan, J. / Xu, X. / Gao, W. / Huang, R. / Zhang, X. et al. | 2001
- 329
-
Investigation of ultra-thin SiO/sub 2/ gate oxide characteristicsJingrong Tan, / Xiaoyan Xu, / Wenyu Gao, / Huang Ru, / Zhang Xing, et al. | 2001
- 333
-
Characteristics of different structure sub-100nm MOSFETs with high-k gate dielectricsXiaoyan Liu, / Shuzuo Lou, / Zhiliang Xia, / Dechao Guo, / Huiwen Zhu, / Jinfeng Kang, / Ruqi Han, et al. | 2001
- 333
-
Characteristics of sub-100nm MOSFETs with high-k gate dielectricsLiu, X. / Lou, S. / Xia, Z. / Guo, D. / Zhu, H. / Kang, J. / Han, R. et al. | 2001
- 337
-
IC interconnect technology-challenges and opportunitiesBin Zhao, et al. | 2001
- 343
-
Nanoporous dielectric materials for advanced CMOSGessner, T. / Bohuslavova, A. / Schulz, S.E. et al. | 2001
- 348
-
A photosensitive low-k interlayer-dielectric film for ULSIsKikkawa, T. et al. | 2001
- 352
-
Non-destructive characterisation of porosity and pore size distribution in porous low-k dielectric filmsBaklanov, M.R. / Mogilnikov, K.P. et al. | 2001
- 358
-
Multi-generation CVD low k films for 0.13 mu m and beyondLee, P. W. / Lang, C.-I. / Sugiarto, D. / Xia, L.-Q. / Gotuaco, M. / Yieh, E. et al. | 2001
- 358
-
Multi-generation CVD low /spl kappa/ films for 0.13 /spl mu/m and beyondLee, P.W. / Chi-I Lang, / Sugiarto, D. / Li-Qun Xia, / Gotuaco, M. / Yieh, E. et al. | 2001
- 364 vol.1
-
Atomic layer depositionShi-Qing Wang, / Sneh, O. / Londergan, A. / Clark-Phelps, B. / Lee, E. / Seidel, T. et al. | 2001
- 365
-
Preparation of low-k nanoporous SiO~2 films by plasma-enhanced chemical vapor depositionHe, L. / Xu, J. et al. | 2001
- 365
-
Preparation of low-k nanoporous SiO/sub 2/ films by plasma-enhanced chemical vapor depositionLenian He, / Jin Xu, et al. | 2001
- 369
-
Development of copper CMP slurryWang Xin, / Wang Hongying, / Liu Yuling, et al. | 2001
- 372
-
Study on key technologies of copper metallization in ULSIXia Yang, / Qian He, / Zhang Guo-hai, / Wang Wen-quan, / Wu De-xin, et al. | 2001
- 375
-
Temperature estimation of ULSI metallization systemsXiao, X. / Ruan, G. / Zhu, Z.M. / Gessner, T. et al. | 2001
- 379
-
The CMP study of silica dielectric in ULSI manufacturingBaimei Tan, / Yuling Liu, / Weiwei Li, / Jianguo Jiang, et al. | 2001
- 382
-
Advances in copper metallization technologyTing, C.H. / Ivanov, I. et al. | 2001
- 386
-
Advanced chemical mechanical planarization (CMP) process for copper interconnectsHara, T. et al. | 2001
- 391
-
Interfacial barriers for the 100-nm node and beyond: key challenges and emerging strategiesRamanath, G. / Stukowski, M. / Kim, H. / Frederick, M.J. et al. | 2001
- 397
-
Evaluation of amorphous (Ta,W,Mo)-Si-N diffusion barriers between Cu and SiSun, S.C. / Yap, H.K. / Chen, C.A. / Lin, P. et al. | 2001
- 400
-
Annealing impact on damascene Cu resistivity and microstructuresQing-Tang Jiang, / Ming-Hsing Tsai, / Frank, A. / Parihar, V. / Nowell, M. / Augur, R.A. / Havemann, R.H. / Luttmer, J.D. et al. | 2001
- 405
-
Cu barrier/seed technology development for sub-0.10 micron copper chipsPeijun Ding, / Ling Chen, / Jianming Fu, / Chin, B. / Mosely, R. / Zheng Xu, / Gongda Yao, et al. | 2001
- 410
-
Electroless Co(W,P) and Co(Mo,P) deposition for Cu metallization applicationsShacham-Diamand, Y. / Zylberman, A. / Petrov, N. / Sverdlov, Y. et al. | 2001
- 416
-
Studies on size effect of copper interconnect linesWen Wu, / Maex, K. et al. | 2001
- 419
-
Study of high conductivity binary Ag-W layers for application in multilevel interconnectionGinsburg, E. / Inberg, A. / Shacham-Diamand, Y. / Seidman, A. / Croitoru, N. et al. | 2001
- 423
-
Minimize dishing effects during chemical mechanical planarization of copper damascene structuresZhang Guohai, / Qian He, / Xia Yang, / Wu Dexin, et al. | 2001
- 427
-
Recent research work on plasma immersion ion implantation of semiconductorsChu, P.K. et al. | 2001
- 433
-
Ultra shallow junction technology for sub-100 nm CMOSBunji, M. et al. | 2001
- 438
-
Supply chain consolidation and the next-generation foundry modelPan Yang, et al. | 2001
- 442
-
The impact of changes in the backend deposition technologies on wafer cleaning for sub 130 nm devicesBiberger, M. et al. | 2001
- 448
-
The impact of reverse tone (n+ & p+ implant) mask and its mis-alignment on salicidation process integrationZhi-Min Ling, / Vo, T. / Tho La, et al. | 2001
- 452
-
The sub-micron fabrication technologyLiu Ming, / Chen Bao-qin, / Ye Tian-chun, / Qian He, / Xu Qiuxia, et al. | 2001
- 456
-
ICP dry etching for deep sub-micrometer vertical trench in Si and SiO~2Wei, K. / Liu, X.-C. / Guo, X.-X. / Wang, R.-M. / Cao, Z.-Y. et al. | 2001
- 456
-
ICP dry etching for deep sub-micrometer vertical trench in Si and SiO/sub 2/Wei Ke, / Liu Xun-Chun, / Guo Xiao-Xu, / Wang Run-Mei, / Cao Zhen-Ya, et al. | 2001
- 460
-
Sub-0.1 /spl mu/m MOSFET fabrication using 248 nm lithography by resist trimming technique in high density plasmasChian-Yuh Sin, / Loh Wei Loong, / Bing-Hung Chen, / Yujie, / Pradeep Yelehanka, / Lap Chan, et al. | 2001
- 460
-
Sub-0.1 mu m MOSFET fabrication using 248nm lithography by resist trimming technique in high density plasmasSin, C.-Y. / Loong, L. W. / Chen, B.-H. / Yujie / Yelehanka, P. / Chan, L. et al. | 2001
- 464
-
Study on controlling the adsorption state of particle on the polished silicon waferKailiang Zhang, / Yuling Liu, / Fang Wang, / Tianhua Si, et al. | 2001
- 468
-
Cryptography of e-beam generated digital pixel holographyWing-On Kwok, / Nai-Keng Bao, / Andy Hau-Ping Chan, / Wong, P.W.H. et al. | 2001
- 472
-
Studying on electron beam lithography technologyLiu Yugui, / Wang Weijun, / Luo Siwei, / Jiang Zeliu, / Pu Jiliang, et al. | 2001
- 472
-
Study on electron beam lithography technologyLiu, Y. / Wang, W. / Luo, S. / Jiang, Z. / Pu, J. et al. | 2001
- 475
-
Preparation of high purity water with low concentration of dissolved oxygen (DO) and total organic carbon (TOC) for VLSI processRuimei Wen, / Zhiliang Zhu, / Shenli Chen, et al. | 2001
- 477
-
Effects of stress on formation of silicides on silicon-on-insulator wafersLiu, C.H. / Liew, S.C. / Cheng, S.L. / Chen, L.J. et al. | 2001
- 477
-
Effects of stress on formation of silicide on silicon-on-insulator waferLiu, C. H. / Liew, S. C. / Cheng, S. L. / Chen, L. J. et al. | 2001
- 483
-
Self-assembled epitaxial CoSi/sub 2//Si[100] nanostructuresKluth, P. / Zhao, Q.-T. / Winnerl, S. / Lenk, S. / Mantl, S. et al. | 2001
- 483
-
Self-assembled epitaxial CoSi~2/Si (100) nanostructuresKluth, P. / Zhao, Q.-T. / Winnerl, S. / Lenk, S. / Mantl, S. et al. | 2001
- 486
-
Electrical transport properties of ion beam synthesized nickel silicide layers by MEVVA implantationWong, S.P. / Zhang, X.W. / Zhang, F. / Cheung, W.Y. et al. | 2001
- 491
-
Silicide synthesis by metal ion implantation and ion depositionZhang Tonghe, / Wu Yuguang, / Yi Zhongzhen, / Zhang Shengji, / Wu Xianying, / Zhang Xu, / Zhang Huixing, / Zhang Xiaoji, / Qian Weidong, et al. | 2001
- 497
-
Ta-rich tantalum silicide nano-cluster diffusion barrier in ULSI metallizationDa-Wei Lin, / Shih-Chan Huang, / Yu-Jen Chen, / Xing-Jin Guo, / Fon-Shan Huang, et al. | 2001
- 501
-
The effect of Pd addition on silicide formation for Ni/Pd bilayers on siliconXin-Ping Qu, / Detavernier, C. / Meirhaeghe, R.L. / Guo-Ping Ru, / Bing-Zong Li, et al. | 2001
- 505
-
Schottky barrier height inhomogeneity of nickel-mono-silicide/n-Si contact studied by I-V-T techniqueJiang, Y.-L. / Tian, Y. / Ru, G.-P. / Han, Y.-Z. / Lu, F. / Li, B.-Z. et al. | 2001
- 505
-
Schottky barrier height inhomogeneities of nickel mono-silicide/n-Si contact studied by I-V-T techniqueYu-Long Jiang, / Yun Tian, / Guo-Ping Ru, / Yong-Zhao Han, / Fang Lu, / Bing-Zong Li, et al. | 2001
- 509
-
Nucleation of CoSi~2 and MnSi~1~.~7 in the Co/Mn/Si ternary systemDetavernier, C. / Van Meirhaeghe, R. L. / Qu, X.-P. / Ru, G.-P. / Zhu, S.-Y. / Li, B.-Z. et al. | 2001
- 509
-
Nucleation of CoSi/sub 2/ and MnSi/sub 1.7/ in the Co/Mn/Si ternary systemDetavernier, C. / Van Meirhaeghe, R.L. / Xin-Ping Qu, / Guo-Ping Ru, / Shi-Yang Zhu, / Bing-Zong Li, et al. | 2001
- 513
-
Ni(Pt)Si thin film formation and its electrical characteristics with Si substrateYong-Zhao Han, / Ying-Ping Qu, / Yu-Long Jiang, / Bei-Lei Xu, / Yong-Feng Cao, / Guo-Ping Ru, / Bing-Zong Li, / Chu, P.K. et al. | 2001
- 517
-
Digital, analog, and mixed signal IC and system-on-chip of dual carrier field effect transistor and three dimensional field effect transistorHuang, C. / Yang, Y.H. / Huang, D.H. et al. | 2001
- 521
-
Novel sub-25 nm devicesDeshpande, H. V. / Woo, J. C. S. et al. | 2001
- 521
-
Novel sub-25 nm devices [MOSFETs]Deshpande, H.V. / Woo, J.C.S. et al. | 2001
- 525
-
CVD SiGe(C) epitaxial growth and its application to MOS devicesMurota, J. / Sakuraba, M. / Matsuura, T. et al. | 2001
- 531
-
A novel salicide body-contacted structure for partially depleted SOI nMOSFETLiu, Y. / Liu, X. / Zhang, Z. / Qian, H. et al. | 2001
- 531
-
A novel salicide body-contacted structure for partially depleted SOI nMOSFETsLiu Yunlong, / Liu Xinyu, / Zhang Zhiyong, / Qian He, et al. | 2001
- 535
-
Design considerations of the sub-50 nm self-aligned double gate MOSFET with a new channel doping profileYin Huaxiang, / Xu Qiuxia, et al. | 2001
- 539
-
Notched sub-100 nm gate MOSFETs for analog applicationsDongping Wu, / Hellberg, P.-E. / Shi-Li Zhang, / Ostling, M. et al. | 2001
- 543
-
Asymmetric gate (AG) FET: a novel sub-micron MOS device structure with excellent performanceShengqi Yang, / Jin He, / Ru Huang, / Xing Zhang, et al. | 2001
- 547
-
Experimental verification of the principle of operation of ring oscillators of Si complementary vertical dual carrier field effect transistorsXu, Y.Z. / Tang, Z.M. / Chen, L. / Li, Z.S. / Li, Y.B. / Wu, C.L. / Xu, P. / Yang, Y.H. / Huang, C. / Huang, D.H. et al. | 2001
- 551
-
Experimental verification of the principle of operation of Si and SiGe resistor load flip-flop and Si resistor load ring oscillator of n channel vertical dual carrier field effect transistorLi, G.H. / Yan, F.Z. / Han, D.J. / Ji, C.Z. / Zou, D.S. / Xu, P. / Yang, Y.H. / Huang, C. / Huang, D.H. et al. | 2001
- 555
-
Analytical threshold voltage model for ultrathin SOI MOSFET'sLiu Xin-Yu, / Sun Hai-Feng, / Wu De-Xin, et al. | 2001
- 559
-
Design and simulation of SiGe channel SOI BMHMTWang Jing, / Li Shu-rong, / Guo Wei-lian, / Zheng Yun-guang, et al. | 2001
- 562
-
n channel SOI Schottky barrier tunneling transistorsXiaoyan Liu, / Kui Luo, / Gang Du, / Lei Sun, / Jinfeng Kang, / Ruqi Han, et al. | 2001
- 566
-
Effect of impurity concentration on hot-carrier-effect in deep-sub-micron grooved gate PMOSFETsHongxia Ren, / Yue Hao, et al. | 2001
- 570
-
Development of SiGe materials and devicesPeiyi, C. et al. | 2001
- 575
-
Exploration of SiGe/Si heterostructure interface in SiGe-channel MOSFETsTsuchiya, T. / Imada, Y. / Murota, J. et al. | 2001
- 575
-
Exploration of SiGe/Si heterostructure interfeace in SiGe-channel MOSFETsTsuchiya, T. / Imada, Y. / Murota, J. et al. | 2001
- 580
-
Development of SiGe/Si HBTGuangdi Shen, / Chen Xu, / Jianxin Chen, / Deshu Zou, / Chen Shi, / Jun Deng, / Jingyu Du, / Guo Gao, et al. | 2001
- 586
-
Growth and quality control of MBE SiGe-HBT structures for analog IC applicationsKaicheng Li, / Daoguang Liu, / Wei-Xin Ni, / Yue Hao, / Jing Zhang, / Zhengfan Zhang, / Shiliu Xu, / Gangyi Hu, / Lin Guo, et al. | 2001
- 592
-
Current transport mechanisms of Ge/sub 1-y/C/sub y//Si heterojunction diodesChen, F. / Kolodzey, J. et al. | 2001
- 592
-
Current transport mechanisms of Ge~1~-~yC~y/Si heterojunction diodesChen, F. / Kolodzey, J. et al. | 2001
- 596
-
The base dopant out diffusion and the optimized setback layers in SiGe HBTZhiguo Li, / Wanrong Zhang, / Dong Wang, / Yaohai Chang, / Yinghua Sun, et al. | 2001
- 600
-
SiGe HV/CVD epitaxy growth on patterned Si substrateLiu Zhi-nong, / Chen Pei-yi, / Yan Yun-jie, / Tsien Pei-Hsin, et al. | 2001
- 604
-
Manufacture of SiGe HMOSFETShi Jin, / Chen Peiyi, / Li Chen, / Luo Guangli, / Zhu Peiyu, / Huang Wentao, / Tsien Peihsin, / Li Shurong, / Zhen Yunfen, / Guo Weilian, et al. | 2001
- 607
-
SiGe/Si HBTs with current gain of negative temperature dependenceZou Deshu, / Xu Chen, / Chen Jianxin, / Shi Chen, / Du Jinyu, / Deng Jun, / Zhang Li, / Shen Guangdi, et al. | 2001
- 610
-
High power and high cutoff frequencies of SiGe HBTDong Wenfu, et al. | 2001
- 612
-
SiGe anode lateral isolated-gate heterojunction bipolar transistorPing Li, / Mengsi You, / Yajuan Su, / Xuening Li, et al. | 2001
- 616
-
A study of SiGe/Si heterostructure implanted by oxygen and hydrogenZhenghua An, / Miao Zhang, / Chuanling Men, / Weili Liu, / Kaicheng Li, / Chenglu Lin, et al. | 2001
- 620
-
Reliability investigation of DC characteristics under emitter-base reverse-bias stress in single-mesa Si/SiGe/Si HBTs grown by molecular beam epitaxy (MBE)Zhang Wan-rong, / Wang Li-xin, / Li Zhi-guo, / Cui Fu-xian, / Luo Jin-sheng, / Sun Yinghua, / Chen Jianxin, / Shen Guang-di, et al. | 2001
- 623
-
Comparison between MBE-based SiGe/Si HBT and Si-based bipolar transistor technologiesDaoguang Liu, / Kaicheng Li, / Wei-Xin Ni, / Yue Hao, / Jing Zhang, / Zhengfan Zhang, / Shiliu Xu, / Gangyi Hu, / Lin Guo, et al. | 2001
- 627
-
High frequency AC equivalent circuit model of Si/SiGe HBTsShi Chen, / Zou Deshu, / Xu Chen, / Chen Jianxin, / Niu Yun, / Shen Guangdi, et al. | 2001
- 631
-
Non ideal current in SiGe/Si HBTChen Xu, / Deshu Zou, / Jianxin Chen, / Chen Shi, / Jun Deng, / Guo Gao, / Guangdi Shen, et al. | 2001
- 634
-
The properties of epitaxial pure germanium films on silicon substrateYang Ru, / Li Ke, / Li Guohui, / Peng Changsi, / Li Yongkang, et al. | 2001
- 637
-
MOS scaling crisis and SOI technologyYoshimi, M. et al. | 2001
- 637
-
CMOS scaling crisis and SOI technologyYoshimi, M. et al. | 2001
- 643
-
SOI device and technology: modeling, characterization, and simulationsXilin An, J. / Sinha, S.P. / Wei, A. / Pelella, M.M. / Kepler, N.J. et al. | 2001
- 650
-
Defects and strain in H/sup +/ and He/sup +/ co-implanted siliconChenglu Lin, / Xinzhong Duo, / Miao Zhang, / Wang, S.X. / Wang, L.M. et al. | 2001
- 650
-
Defects and strain in H^+ and He^+ co-implanted siliconLin, C. / Duo, X. / Zhang, M. / Wang, S. X. / Wang, L. M. et al. | 2001
- 655
-
Characterization of lateral asymmetric channel (LAC) thin film SOI MOSFETsNajeeb-ud-Din, / Dunga, M.V. / Kumar, A. / Ramgopal Rao, V. / Vasi, J. et al. | 2001
- 661
-
Investigation of hot carrier effects in SOI nMOSFET's operating in a Bi-MOS mode with mesa isolationRu Huang, / Xing Zhang, / Jin He, / Yangyuan Wang, et al. | 2001
- 665
-
On "pure self-heating effect" of MOSFET in SOIZheng Taolei, / Luo Jinsheng, / Zhang Xing, et al. | 2001
- 669
-
Investigation of substrate damage and other issues in hydrogen plasma implantation for silicon-on-insulator (SOI) fabricationFu, R.K.Y. / Wang, L.W. / Chu, P.K. et al. | 2001
- 673
-
Fabrication of silicon-silicide-on-insulator substrates using wafer bonding and layer-cutting techniquesShiyang Zhu, / Guoping Ru, / Yiping Huang, et al. | 2001
- 676
-
Total dose radiation experiments in CMOS/SOI 4 Kb SRAMLiu Yunlong, / Liu Xinyu, / Hai Chaohe, / Sun Haifeng, / Han Zhengsheng, / Qian He, et al. | 2001
- 679
-
Fully-depleted SOI NMOS transistors with p/sup +/-polysilicon gateSun Hai-feng, / Liu Xin-yu, / Hai Chao-he, / Wu De-xin, et al. | 2001
- 679
-
Fully-depleted SOI NMOS transistors with p+polysilicon gateSun, H.-f. / Liu, X.-y. / Hai, C.-h. / Wu, D.-x. et al. | 2001
- 683
-
Epitaxial growth and transfer of single crystalline Si thin films on double layer porous silicon substrateZhu, S. / Li, A. / Huang, Y. et al. | 2001
- 683
-
Epitaxial growth and transfer of single crystalline Si thin films on double layered porous silicon substrateShiyang Zhu, / Aizhen Li, / Yiping Huang, et al. | 2001
- 687
-
Ferroelectric dielectric technologyNozawa, H. / Takayama, M. / Koyama, S. et al. | 2001
- 692
-
Low temperature PZT ferroelectric capacitor process for high density capacitor-over-interconnect (COI) FeRAM applicationLung, S.L. / Chen, S.S. / Tsai, C.W. / Sheng, T.T. / Lia, S.C. / Liu, C.L. / Wu, T.B. / Liu, R. et al. | 2001
- 696
-
Ferroelectric thin films fabricated by sol-gel techniqueYuhuan Xu, et al. | 2001
- 702
-
Fabrication and characteristics of Au/Cr/PZT/Pt/Ti/ZrO~2/Si structure for MFMIS FET applicationLin, Y. / Tang, T.-a. / Lu, Y. / Huang, W. / Jiang, G. et al. | 2001
- 702
-
Fabrication and characteristics of Au/Cr/PZT/Pt/Ti/ZrO/sub 2//Si structure for MFMIS FET applicationLin Yinyin, / Tang Ting-ao, / Lu Yun, / Huang Weining, / Jiang Guobao, et al. | 2001
- 706
-
A silicon-based PT/PZT/PT sandwich structure for memory devicesTian-Ling Ren, / Lin-Tao Zhang, / Jian-She Liu, / Li-Tian Liu, / Zhi-Jian Li, et al. | 2001
- 710
-
Effects of post oxygen plasma treatment on Pt/(Ba,Sr)TiO/sub 3//Pt capacitors at low substrate temperaturesJuang, M.H. / Hwang, C.C. / Cheng, H.C. et al. | 2001
- 710
-
Effects of post oxygen plasma treatment on Pt/(Ba,Sr)TiO~3/Pt capacitors at low substrate temperaturesJuang, M. H. / Hwang, C. C. / Cheng, H. C. et al. | 2001
- 714
-
The effect of thermal treatment on PLD derived Ba/sub 0.8/Sr/sub 0.2/TiO/sub 3/ thin film capacitorSu Xing, / Zhitang Song, / Chenglu Lin, et al. | 2001
- 714
-
The effect of thermal treatment on PLD derived Ba~0~.~8Sr~0~.~2TiO~3 thin film capacitorXing, S. / Song, Z. / Lin, C. et al. | 2001
- 718
-
PbZr~0~.~5Ti~0~.~5O~3 films prepared on La~0~.~5Sr~0~.~5CoO~3/LaNiO~3 heterostructures for integrated ferroelectric devicesWang, G. S. / Lai, Z. Q. / Meng, X. J. / Sun, J. L. / Yu, J. / Guo, S. L. / Chu, J. H. et al. | 2001
- 718
-
PbZr/sub 0.5/Ti/sub 0.5/O/sub 3/ thin films prepared on La/sub 0.5/Sr/sub 0.5/CoO/sub 3//LaNiO/sub 3/ heterostructures for integrated ferroelectric devicesWang, G.S. / Lai, Z.Q. / Meng, X.J. / Sun, J.L. / Yu, J. / Guo, S.L. / Chu, J.H. et al. | 2001
- 722
-
Preparation and properties of (Ba~x,Sr~1~-~x)TiO~3 thin film for high density DRAM applicationXie, Y.-h. / Lin, Y.-y. / Tang, T.-a. et al. | 2001
- 722
-
Preparation and properties of (Ba/sub x/Sr/sub 1-x/)TiO/sub 3/ thin film for high density DRAM applicationYu-han Xie, / Yin-yin Lin, / Ting-ao Tang, et al. | 2001
- 726
-
PZT based bulk acoustic wave RF filtersTian-Ling Ren, / Yan-Xiang Liu, / Jian-She Liu, / Li-Tian Liu, / Zhi-Jian Li, et al. | 2001
- 730
-
Spectra properties of ferroelectric potassium lithium niobate crystalWan Youbao, / Guo Yuguang, / Yuan Xianzhang, / Chen Jing, / Chu Junhao, et al. | 2001
- 733
-
A compact serial RF MEMS switch for S-band applicationHu Xiaodong, / Lumiao, / Hou Maohui, / He Qingguo, / Gao Cuizhuo, / Bai Xiwei, / Zhao Zhengping, et al. | 2001
- 733
-
Author index| 2001
- 739
-
Design and fabrication of RF MEMS capacitive switch on silicon substrate with advanced IC interconnect technologyChen Zhen, / Yu Mingbin, / Guo Lihui, et al. | 2001
- 742
-
2x2 torsion-mirror optical switch arrays with monolithically integrated fiber holding structuresWu, W. / Hao, Y. / Zhang, P. / Wu, G. et al. | 2001
- 742
-
2/spl times/2 torsion-mirror optical switch arrays with monolithically integrated fiber holding structuresWengang Wu, / Yilong Hao, / Peiyu Zhang, / Guoying Wu, et al. | 2001
- 742
-
Kev word index| 2001
- 746
-
Study of a novel silicon micromachined gyroscopeBin Xiong, / Yuelin Wang, / Xisozhen Huang, / Lufeng Che, / Weiyuan Wang, et al. | 2001
- 749
-
Design and fabrication of micromechanical variable fiber-optic attenuatorWen-Jun Li, / Xiao-Lin Zhao, / Bing-Chu Cai, / Guang-Ya Zhou, / Ming-Sheng Zhang, / Xu-Han Dai, et al. | 2001
- 753
-
Study of the response behaviour of micromechanical optical modulator with a square wave drivingHuibing Mao, / Jinghua Ke, / Peisheng Xing, / Zongsheng Lai, et al. | 2001
- 757
-
Dynamic characteristics of novel single-chip fabricated corrugated diaphragms for micro-acoustic devicesChen Jing, / Liu Litian, / Li Zhijian, et al. | 2001
- 761
-
The performance improvement of coplanar waveguides by silicon micromachined technologyShi Yanling, / Lai Zongsheng, / Xin Peisheng, / Zhu Ziqiang, et al. | 2001
- 765
-
Testing and analysis of lifetime of a vertical bulk MEMS switchLu Miao, / Zhao Zhengping, / Hu Xiaodong, / Guo Hejun, / Zou Xuefeng, / Liu Yanqing, / Yang Yong, et al. | 2001
- 769
-
Millimeter wave phase shifters with periodically spaced MEMS bridges loadedGuo Fangmin, / Lai Zongsheng, / Wu Guanglong, / Zhu Shouzheng, / Zhu Ziqiang, / Yang Gengqin, / Ge Xiaohong, / Chen Siqing, / Xie Jianfang, et al. | 2001
- 773
-
An effective method to increase sensitivity and stability of the integrated gas sensor with sensing film annealing at higher temperatureGui-zhen Yan, / Chan, P.C.H. / Sin, J.K.O. / I-Ming Hsing, / Yang-yuan Wang, et al. | 2001
- 777
-
Zeolite-coated microcantilever gas sensorJia Zhou, / Po Li, / Song Zhang, / Feng Zhou, / Yiping Huang, / Pengyuan Yang, / Minhang Bao, et al. | 2001
- 781
-
A mass-loading effect LiNbO/sub 3/ SAW sensorZheng Zhang, / Dazhong Zhu, / Zhelong Huang, et al. | 2001
- 781
-
A mass-loading effect LiNbO~3 SAW sensorZhang, Z. / Zhu, D. / Huang, Z. et al. | 2001
- 785
-
The design and fabrication of a miniaturized differential-capacitive triaxial accelorometerCao, X. / Zhang, D. / Huang, R. / Zhang, X. / Wang, Y. et al. | 2001
- 785
-
The design and fabrication of a miniaturized differential-capacitive triaxial accelerometerXinping Cao, / Dacheng Zhang, / Ru Huang, / Xing Zhang, / Yangyuan Wang, et al. | 2001
- 789
-
Design of a PZT based cantilever structureTian-Ling Ren, / Lin-Tao Zhang, / Jian-She Liu, / Li-Tian Liu, / Zhi-Jian Li, et al. | 2001
- 793
-
The development of the nanocrystal silicon film FEA pressure sensorLiu Jinhua, / Lin Hongyi, / Zhang Xing, et al. | 2001
- 797
-
Fabrication of micromachined infrared thermopile detector using novel front etch processZhengyi Xu, / Bin Yiong, / Yuelin Wang, et al. | 2001
- 800
-
A system design method of micro-g silicon accelerometer with a CMOS precision interface circuitWang Haiyong, / Li Yongming, / Chen Hongyi, et al. | 2001
- 804
-
A simple method for primary testing the micromachining piezoresistive accelerometer with a range more than kilo gQuanping Huang, / Lu, D. et al. | 2001
- 808
-
The investigation of the detection mechanism for micromachined accelerometers with gaseous proof massMiao Min, / Zhang Fuxue, / Wu Guoying, et al. | 2001
- 812
-
MOSFET differential amplifier with input pair and active load pair being stress-sensitive bothLi Jingjing, / Yue Ruifeng, / Liu Litian, et al. | 2001
- 816
-
Preparation and etching of porous silicon as a sacrificial layer used in RF-MEMS devicesDing Yong, / Liu Zewen, / Cong Peng, / Liu Litian, / Li Zhijian, et al. | 2001
- 820
-
Design and simulation of a novel micromachined comb-gimbal gyroscopeLufeng Che, / Xin Li, / Bin Xiong, / Yao Chen, / Yuelin Wang, et al. | 2001
- 823
-
Influences of various dielectrics materials on p++ silicon diaphragmJunmiao Wu, / Deshu Zou, / Guo Gao, / Lan Li, / Nanhui Niu, / Guangdi Shen, et al. | 2001
- 827
-
The sacrificial layer releasing of micro-machined optical-fiber switch with poly-Si torsion mirrorShimei Liu, / Lin Wu, / Guobing Zhang, / Peiyu Zhang, / Dacheng Zhang, / Yilong Hao, / Ting Li, et al. | 2001
- 831
-
An IC chip of magneto-sensitive silicon transistors sensorDian-Zhong Wen, et al. | 2001
- 835
-
Theoretical study of electrostatic-field-induced bending of membrane in shunt-capacitance MEMS RF switchesJia Ming, / Guo Fangmin, / Zhu Ziqiang, / Lai Zongsheng, / Chu Jianpeng, / Wang Yuelin, / Ge Yiaohong, / Chen Siqing, / Wang Weiyuan, et al. | 2001
- 839
-
Study of BAW filter using surface micromachiningCong Peng, / Liu Yanxiang, / Ding Yong, / Xu Yang, / Ren Tianling, / Liu Litian, et al. | 2001
- 843
-
A novel accelerometer using MOS ring oscillatorsZhang Zhaohua, / Liu litian, et al. | 2001
- 847
-
A novel electrostatically driven bulk-micromachined on-off optical switchCong-Shun Wang, / Jing Fang, / Sheng Chang, / Zhen-Chuan Yang, / Da-Cheng Zhang, et al. | 2001
- 851
-
Tribological behavior of copper chemical-mechanical polishingXu, G.H. / Liang, H. et al. | 2001
- 855
-
Technology-dependent modeling of deep-submicron MOSFET's and ULSI circuitsXing Zhou, / Siau Ben Chiah, / Khee Yong Lim, / Yuwen Wang, / Xing Yu, / Chwa, S. / See, A. / Lap Chan, et al. | 2001
- 861
-
HiSIM: The first complete drift-diffusion MOSFET model for circuit simulationMattausch, H.J. / Miura-Mattausch, M. / Ueno, H. / Kumashiro, S. / Yamaguchi, T. / Yamashita, K. / Nakayama, N. et al. | 2001
- 867
-
Modeling of front and back gate surface potential of deep-submicron FD-SOI MOSFETCheng, B. / Shao, Z. / Yu, Z. / Shi, T. / Jiang, Z. et al. | 2001
- 867
-
Modeling of front and back gate surface potential of deep-submicro FD-SOI MOSFETCheng Bin Jie, / Shao Zhi Biao, / Yu Zhong, / Shi Ting, / Jiang Zheng, et al. | 2001
- 871
-
Modeling and simulation of RLC interconnect effects with AWE methodZhu, Z. / Streiter, R. / Xiao, X. / Ruan, G. / Wolf, H. / Otto, T. / Gessner, T. et al. | 2001
- 871
-
Modeling and simulation of RLC interconnect effects with the AWE methodZhu Zhaomin, / Streiter, R. / Xiao Xia, / Ruan Gang, / Wolf, H. / Otto, T. / Gessner, T. et al. | 2001
- 875
-
A proper deep submicron MOSFET model (PDSMM) and its applications for delay modeling of CMOS invertersXueping Jiang, / Jayasumana, A.P. / Weijun Zhang, / Sun Chiao, et al. | 2001
- 879
-
30 nm device channel profile optimization for digital application by using numerical simulationGongchuan Li, / Wenli Wang, / Liyun Qi, / Joardar, K. et al. | 2001
- 883
-
Simulation of 100nm SOI MOSFET with FINFET structureEnfeng Liu, / Changhai Lin, / Xiaoyan Liu, / Ruqi Han, et al. | 2001
- 887
-
A procedure to extract mobility degradation, series resistance and threshold voltage of SOI MOSFETs in the saturation regionOrtiz-Conde, A. / Garcia Sanchez, F.J. / Cerdeira, A. / Estrada, M. / Flandre, D. / Liou, J.J. et al. | 2001
- 891
-
Modeling of single-transistor latch behavior in partially-depleted (PD) SOI CMOS devices using a concise SOI-SPICE modelKuo, J.B. / Shih-Chia Lin, et al. | 2001
- 895
-
Hole quantization and hole direct tunneling in deep submicron p-MOSFETsHou, Y.T. / Li, M.F. / Jin, Y. et al. | 2001
- 901
-
The quantum effects in MOSFET's: threshold voltage creepWuyun Quan, / Kim, D.M. et al. | 2001
- 901
-
The quantum effect in MOSFETs: threshold voltage creepQuan, W. / Kim, D. M. et al. | 2001
- 905
-
Comparison simulation of well-tempered MOSFET's by quantum mechanical and semi-classical approachesYutao Ma, / Lifeng Chen, / Lilin Tian, / Litian Liu, / Zhijian Li, et al. | 2001
- 909
-
Atomistic annealing simulation: kinetic lattice Monte CarloMin Yu, / Ru Huang, / Xing Zhang, et al. | 2001
- 913
-
A new small-signal parameter extraction approach for SOI MOSFETLao Huailin, / Zhang Guoyan, / Huang Lu, / Zhang Xing, / Wang Yangyuan, et al. | 2001
- 916
-
The simulation analysis of cross-talk behavior in SOI mixed-mode integrated circuitsZhang Guoyan, / Liao Huailin, / Huang Ru, / Zhang Xing, / Wang Yangyuan, et al. | 2001
- 920
-
Full-band Monte Carlo simulation for metal-semiconductor contact with direct tunneling effectLei Sun, / Gang Du, / Xiaoyan Liu, / Ruqi Han, et al. | 2001
- 924
-
Simulation 70 nm MOSFET by a 2-D full-band Monte Carlo device simulator with a quantum mechanical correction to the potentialGang Du, / Lei Sun, / Xiaoyan Liu, / Ruqi Han, et al. | 2001
- 928
-
Monte Carlo simulation of low-energy electron scattering and energy dissipation distributionLiming Ren, / Baoqin Chen, / Zhenyu Tan, et al. | 2001
- 932
-
Current induced subthreshold trap generation, degradation, and breakdown in the thin oxideMingzhen Xu, / Changhun Tan, / Huan Chen, / Xiaorong Duan, et al. | 2001
- 936
-
Transient effect of DC stressed dielectric breakdown in thin SiO/sub 2/ filmsToriumi, A. / Satake, H. et al. | 2001
- 936
-
Transient effect of DC stressed dielectric breakdown in thin SiO~2 filmsToriumi, A. / Satake, H. et al. | 2001
- 942
-
Uncover the diffusion mechanism of atoms during electromigration test using non-stationary noise analysisShin Yeh Lim, / Cher Ming Tan, / Krishnamachar, P. / Dao Hua Zhang, et al. | 2001
- 946
-
Extracting F-N stress-induced interface states in SOI NMOSFETs by forward gated-diodeJin He, / Xing Zhang, / Aihua Huang, / Ru Huang, / Yangyuan Wang, et al. | 2001
- 950
-
A study on gate-induced junction breakdownLim, K.Y. / Yu, X. / Yeo, D. et al. | 2001
- 954
-
Instability in post-breakdown conduction in ultra-thin gate oxideChen, T.P. / Luo, Y.L. et al. | 2001
- 958
-
A quantitative analysis of stress-induced leakage currents in ultra-thin silicon dioxide filmsEndoh, T. et al. | 2001
- 964
-
Analysis of electromigration test dataCher Ming Tan, / Woon Loon See, / Kah Chin Tey, J. et al. | 2001
- 967
-
A physical model of the field dependence of two breakdown activation energies for TDDBChanghua Tan, / Mingzhen Xu, et al. | 2001
- 970
-
Charging damage in dual gate oxide processJin, Y. / Lim, H.F. / Tong, A.F. / Gn, F.H. / Low, A.S. / Teo, W.Y. / Hou, Y.T. / Li, M.F. et al. | 2001
- 974
-
Influence of trapped charges on low-level leakage current in thin silicon dioxide filmsChen, T.P. / Luo, Y.L. et al. | 2001
- 978
-
A unified method for determining characteristic parameters of different reliability statisticsFuchen Mu, / Changhua Tan, / Mingzhen Xu, et al. | 2001
- 982
-
A new charge-pumping measurement technique for lateral profiling of interface states and oxide charge in MOSFETsLiang, Y. / Zhao, W. / Xu, M. Z. / Tan, C. H. et al. | 2001
- 982
-
A new charge-pumping measurement technique for lateral profiling of interface states and oxide charges in MOSFETsLiang, Y. / Zhao, W. / Xu, M.Z. / Tan, C.H. et al. | 2001
- 986
-
Hot carrier degradation in the integration of dual gate oxide transistor in sub-0.3 mu m CMOS technologyJie, X. / Zhang, F. / Wu, Y. / Moosa, M. / Song, S.-C. et al. | 2001
- 986
-
Hot carrier degradation in the integration of dual gate oxide transistor in sub-0.13um CMOS technologyXiaorui Jie, / Fubin Zhang, / Yongjun Wu, / Moosa, M. / Seung-Chul Song, et al. | 2001
- 990
-
Simulating models for ESD event in ICJiang, L. / Yang, X. / Wang, J. et al. | 2001
- 990
-
Simulation models of ESD event in ICsJiang Lei, / Yang Xing, / Wang Jiaji, et al. | 2001
- 994
-
Estimation of interface roughness using tunneling current in ultrathin MOSFETMao, L.F. / Zhang, H.Q. / Wei, J.L. / Tan, C.H. / Xu, M.Z. et al. | 2001
- 994
-
Esitmation of interface roughness using tunneling current in ultrathin MOSFETMao, L. F. / Zhang, H. Q. / Wei, J. L. / Tan, C. H. / Xu, M. Z. et al. | 2001
- 998
-
An integrated-circuit reliability optimization simulator - XDRSLiu Hongxia, / Hao Yue, / Sun Zhi, et al. | 2001
- 1002
-
A unified oxide breakdown model for thin gate MOS devicesLiu Hongxia, / Hao Yue, et al. | 2001
- 1006
-
The experimental investigation on street-induced leakage current under Fowler-Nordheim constant voltage stressWei, J. / Mao, L. / Xu, M. / Tan, C. et al. | 2001
- 1006
-
The experimental investigation on stress-induced leakage current under Fowler-Nordheim constant voltage stressJianlin Wei, / Langfeng Mao, / Mingzhen Xu, / Changhua Tan, et al. | 2001
- 1010
-
Another way to investigate the characteristics of time-dependent dielectric breakdown of ultra-thin oxidesFuchen Mu, / Mingzben Xu, / Changhua Tan, / Xiaorong Duan, et al. | 2001
- 1014
-
Degradation model of the electron gate current in PMOSFETYusheng Tang, / Yue Hao, / Jiangang Zhu, / Jincheng Zhang, et al. | 2001
- 1017
-
A better hot-carrier-induced degradation monitor for several typical device parameters of pMOSFET'sZhang Jin-cheng, / Hao Yue, / Zhu Zhi-wei, et al. | 2001
- 1021
-
Test structures based VLSIC yield ramp maximizationStrojwas, A.J. / Ciplickas, D. / Lee, S. et al. | 2001
- 1025
-
New global insight in ultra-thin oxide reliability using accurate experimental methodology and theoretical modelingWu, E. / Sune, J. et al. | 2001
- 1031
-
On-chip characterization of interconnect line-induced delay time in 0.15 /spl mu/m CMOS technology with 7-level metallizationHi-Deok Lee, / Dae M Kim, et al. | 2001
- 1031
-
On-chip characterization of interconnect line-induced delay time in 0.15 mu m CMOS technology with 7-level metallizationLee, H.-D. / Kim, D. M. et al. | 2001
- 1036
-
Ultra shallow secondary ion mass spectrometryLiu, R. / Ng, C.M. / Wee, A.T.S. et al. | 2001
- 1040
-
SEM investigation on IGBT latch-up failureWuchen Wu, / Changyong Fan, / Yajie Wang, / Yangang Wang, / Xueqing Cui, / Jacob, P. / Held, M. et al. | 2001
- 1043
-
Modeling study of scanning capacitance microscopy measurement for p-n junction dopant profile extractionYang, J. / Yeow, Y.T. et al. | 2001