Flushing-enabled loop pipelining for high-level synthesis (Englisch)
- Neue Suche nach: Dai, Steve
- Neue Suche nach: Mingxing Tan,
- Neue Suche nach: Kecheng Hao,
- Neue Suche nach: Zhang, Zhiru
- Neue Suche nach: Dai, Steve
- Neue Suche nach: Mingxing Tan,
- Neue Suche nach: Kecheng Hao,
- Neue Suche nach: Zhang, Zhiru
In:
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC)
;
1-6
;
2014
-
ISBN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Flushing-enabled loop pipelining for high-level synthesis
-
Beteiligte:Dai, Steve ( Autor:in ) / Mingxing Tan, ( Autor:in ) / Kecheng Hao, ( Autor:in ) / Zhang, Zhiru ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.06.2014
-
Format / Umfang:179990 byte
-
ISBN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Verification of non-mainline functions in todays processor chipsKoesters, Johannes / Goryachev, Alex et al. | 2014
- 1
-
Contactless stacked-die testing for pre-bond interposersJui-Hung Chien, / Ruei-Siang Hsu, / Hsueh-Ju Lin, / Ka-Yi Yeh, / Shih-Chieh Chang, et al. | 2014
- 1
-
Quantitative analysis of Control Flow Checking mechanisms for soft errorsShrivastava, Aviral / Rhisheekesan, Abhishek / Jeyapaul, Reiley / Wu, Carole-Jean et al. | 2014
- 1
-
On using implied values in EDT-based test compressionGebala, Marcin / Mrugalski, Grzegorz / Mukherjee, Nilanjan / Rajski, Janusz / Tyszer, Jerzy et al. | 2014
- 1
-
BEOL scaling limits and next generation technology prospectsNaeemi, Azad / Pan, Chenyun / Ceyhan, Ahmet / Iraei, Rouhollah M. / Kumar, Vachan / Rakheja, Shaloo et al. | 2014
- 1
-
Remembrance of transistors past: Compact model parameter extraction using bayesian inference and incomplete new measurementsYu, Li / Saxena, Sharad / Hess, Christopher / Elfadel, Abe / Antoniadis, Dimitri / Boning, Duane et al. | 2014
- 1
-
Powertrain co-simulation using AUTOSAR and the Functional Mockup Interface standardStoermer, Christoph / Tibba, Ghizlane et al. | 2014
- 1
-
Simultaneous EUV flare variation minimization and CMP control with coupling-aware dummificationChi-Yuan Liu, / Chiang, Hui-Ju Katherine / Chang, Yao-Wen / Jiang, Jie-Hong R. et al. | 2014
- 1
-
A new field-assisted access scheme of STT-RAM with self-reference capabilityEken, Enes / Zhang, Yaojun / Wen, Wujie / Joshi, Rajiv / Hai Li, / Chen, Yiran et al. | 2014
- 1
-
Modeling and analysis of domain wall dynamics for robust and low-power embedded memoryIyengar, Anirudh / Ghosh, Swaroop et al. | 2014
- 1
-
On trading wear-leveling with heal-levelingYu-Ming Chang, / Chang, Yuan-Hao / Jian-Jia Chen, / Kuo, Tei-Wei / Hsiang-Pang Li, / Hang-Ting Lue, et al. | 2014
- 1
-
Critical path monitor enabled dynamic voltage scaling for graceful degradation in sub-threshold designsYu-Guang Chen, / Tao Wang, / Kuan-Yu Lai, / Wan-Yu Wen, / Yiyu Shi, / Shih-Chieh Chang, et al. | 2014
- 1
-
Beyond ECDSA and RSA: Lattice-based digital signatures on constrained devicesOder, Tobias / Poppelmann, Thomas / Guneysu, Tim et al. | 2014
- 1
-
Ultra low-power implementation of ECC on the ARM Cortex-M0+de Clercq, Ruan / Uhsadel, Leif / Van Herrewege, Anthony / Verbauwhede, Ingrid et al. | 2014
- 1
-
Approximate property checking of mixed-signal circuitsMukherjee, Parijat / Amin, Chirayu S. / Li, Peng et al. | 2014
- 1
-
Probabilistic bug localization via statistical inference based on partially observed dataYoun, Sangho / Gu, Chenjie / Kim, Jaeha et al. | 2014
- 1
-
A time-unrolling method to compute sensitivity of dynamic systemsLiu, Frank / Feldmann, Peter et al. | 2014
- 1
-
Symbolic analysis of dataflow applications mapped onto shared heterogeneous resourcesSiyoum, Firew / Geilen, Marc / Corporaal, Henk et al. | 2014
- 1
-
Routability-driven blockage-aware macro placementChen, Yi-Fang / Huang, Chau-Chin / Chiou, Chien-Hsiung / Chang, Yao-Wen / Wang, Chang-Jen et al. | 2014
- 1
-
DAPs: Dynamic adjustment and partial sampling for multithreaded/multicore simulationChen, Chien-Chih / Peng, Yin-Chi / Chen, Cheng-Fen / Wu, Wei-Shan / Min, Qinghao / Yew, Pen-Chung / Zhang, Weihua / Chen, Tien-Fu et al. | 2014
- 1
-
Demand-driven mixture preparation and droplet streaming using digital microfluidic biochipsRoy, Sudip / Kumar, Srijan / Chakrabarti, Partha P. / Bhattacharya, Bhargab B. / Chakrabarty, Krishnendu et al. | 2014
- 1
-
EC-Cache: Exploiting error locality to optimize LDPC in NAND flash-based SSDsLiu, Ren-Shuo / Chuang, Meng-Yen / Yang, Chia-Lin / Li, Cheng-Hsuan / Ho, Kin-Chu / Li, Hsiang-Pang et al. | 2014
- 1
-
Space-efficient multiversion index scheme for PCM-based embedded database systemsKuan, Yuan-Hung / Chang, Yuan-Hao / Huang, Po-Chun / Lam, Kam-Yiu et al. | 2014
- 1
-
High-level synthesis for run-time hardware Trojan detection and recoveryCui, Xiaotong / Ma, Kun / Shi, Liang / Wu, Kaijie et al. | 2014
- 1
-
darkNoC: Designing energy-efficient network-on-chip with multi-Vt cells for dark siliconBokhari, Haseeb / Javaid, Haris / Shafique, Muhammad / Henkel, Jorg / Parameswaran, Sri et al. | 2014
- 1
-
Parasitic-aware sizing and detailed routing for binary-weighted capacitors in charge-scaling DACLin, Mark Po-Hung / Hsiao, Vincent Wei-Hao / Lin, Chun-Yu et al. | 2014
- 1
-
FIGHT-metric: Functional identification of gate-level hardware trustworthinessSullivan, Dean / Biggers, Jeff / Zhu, Guidong / Zhang, Shaojie / Jin, Yier et al. | 2014
- 1
-
TACUE: A Timing-Aware Cuts Enumeration algorithm for parallel synthesisElbayoumi, Mahmoud / Choudhury, Mihir / Kravets, Victor / Sullivan, Andrew / Hsiao, Michael / Elnainay, Mustafa et al. | 2014
- 1
-
Energy-efficient GPGPU architectures via collaborative compilation and memristive memory-based computingRahimi, Abbas / Ghofrani, Amirali / Lastras-Montano, Miguel Angel / Cheng, Kwang-Ting / Benini, Luca / Gupta, Rajesh K. et al. | 2014
- 1
-
Validation of SoC firmware-hardware flows: Challenges and solution directionsAbarbanel, Yael / Singerman, Eli / Vardi, Moshe Y. et al. | 2014
- 1
-
Leveraging data lifetime for energy-aware last level non-volatile SRAM caches using redundant store eliminationHsiang-Jen Tsai, / Chien-Chih Chen, / Keng-Hao Yang, / Ting-Chin Yang, / Li-Yue Huang, / Ching-Hao Chung, / Meng-Fan Chang, / Tien-Fu Chen, et al. | 2014
- 1
-
Catch your attention: Quality-retaining power saving on mobile OLED displaysLin, Chun-Han / Chih-Kai Kang, / Hsiu, Pi-Cheng et al. | 2014
- 1
-
A SystemC Virtual Prototyping based methodology for multi-standard SoC functional verificationChen, Zhimiao / Wang, Yifan / Lei Liao, / Ye Zhang, / Aytac, Atac / Muller, Jan Henning / Wunderlich, Ralf / Heinen, Stefan et al. | 2014
- 1
-
Computer-aided design of machine learning algorithm: Training fixed-point classifier for on-chip low-power implementationAlbalawi, Hassan / Yuanning Li, / Xin Li, et al. | 2014
- 1
-
User-centric energy-efficient scheduling on multi-core mobile devicesPo-Hsien Tseng, / Hsiu, Pi-Cheng / Chin-Chiang Pan, / Kuo, Tei-Wei et al. | 2014
- 1
-
Low-cost on-chip structures for combating die and IC recyclingGuin, Ujjwal / Zhang, Xuehui / Forte, Domenic / Tehranipoor, Mohammad et al. | 2014
- 1
-
CACI: Dynamic current analysis towards robust recycled chip identificationYu Zheng, / Basak, Abhishek / Bhunia, Swarup et al. | 2014
- 1
-
Using a high-level test generation expert system for testing in-car networksAdir, Allon / Goryachev, Alex / Greenberg, Lev / Salman, Tamer et al. | 2014
- 1
-
A swap-based cache set index scheme to leverage both superpage and page coloring optimizationsCui, Zehan / Chen, Licheng / Bao, Yungang / Chen, Mingyu et al. | 2014
- 1
-
Hardware Trojan detection through golden chip-free statistical side-channel fingerprintingLiu, Yu / Huang, Ke / Makris, Yiorgos et al. | 2014
- 1
-
Modeling and experimental demonstration of accelerated self-healing techniquesGuo, Xinfei / Burleson, Wayne / Stan, Mircea et al. | 2014
- 1
-
Enabling dynamic heterogeneity through core-on-core stackingKontorinis, Vasileios / Tavana, Mohammad K. / Hajkazemi, Mohammad H. / Tullsen, Dean M. / Homayoun, Houman et al. | 2014
- 1
-
ClusRed: Clustering and network reduction based probabilistic optimal power flow analysis for large-scale smart gridsLiang, Yi / Chen, Deming et al. | 2014
- 1
-
One-shot calibration of rf circuits based on non-intrusive sensorsAndraud, Martin / Stratigopoulos, Haralampos-G. / Simeu, Emmanuel et al. | 2014
- 1
-
Schedule integration framework for time-triggered automotive architecturesSagstetter, Florian / Andalam, Sidharta / Waszecki, Peter / Lukasiewycz, Martin / Stahle, Hauke / Chakraborty, Samarjit / Knoll, Alois et al. | 2014
- 1
-
LiVe: Timely error detection in light-lockstep safety critical systemsHernandez, Carles / Abella, Jaume et al. | 2014
- 1
-
Static mapping of mixed-critical applications for fault-tolerant MPSoCsKang, Shin-haeng / Hoeseok Yang, / Sungchan Kim, / Bacivarov, Iuliana / Soonhoi Ha, / Thiele, Lothar et al. | 2014
- 1
-
Multi-layer memory resiliencyDutt, Nikil / Gupta, Puneet / Nicolau, Alex / BanaiyanMofrad, Abbas / Gottscho, Mark / Shoushtari, Majid et al. | 2014
- 1
-
Layout decomposition for quadruple patterning lithography and beyondYu, Bei / Pan, David Z. et al. | 2014
- 1
-
Flushing-enabled loop pipelining for high-level synthesisDai, Steve / Mingxing Tan, / Kecheng Hao, / Zhang, Zhiru et al. | 2014
- 1
-
Sufficient temporal independence and improved interrupt latencies in a real-time hypervisorBeckert, Matthias / Neukirchner, Moritz / Ernst, Rolf / Petters, Stefan M. et al. | 2014
- 1
-
Power / capacity scaling: Energy savings with simple fault-tolerant cachesGottscho, Mark / BanaiyanMofrad, Abbas / Dutt, Nikil / Nicolau, Alex / Gupta, Puneet et al. | 2014
- 1
-
Advanced diagnosis: SBST and BIST integration in automotive E/E architecturesReimann, Felix / Glass, Michael / Teich, Jurgen / Cook, Alejandro / Gomez, Laura Rodriguez / Ull, Dominik / Wunderlich, Hans-Joachim / Abelein, Ulrich / Engelke, Piet et al. | 2014
- 1
-
Safety evaluation of automotive electronics using Virtual Prototypes: State of the art and research challengesOetjens, J.-H. / Bannow, N. / Becker, M. / Bringmann, O. / Burger, A. / Chaari, M. / Chakraborty, S. / Drechsler, R. / Ecker, W. / Gruttner, K. et al. | 2014
- 1
-
Area-efficient event stream ordering for runtime observability of embedded systemsLee, Jong Chul / Lysecky, Roman et al. | 2014
- 1
-
Dynamic power management of off-chip links for Hybrid Memory CubesAhn, Junwhan / Yoo, Sungjoo / Choi, Kiyoung et al. | 2014
- 1
-
Fort-NoCs: Mitigating the threat of a compromised NoCAncajas, Dean Michael / Chakraborty, Koushik / Roy, Sanghamitra et al. | 2014
- 1
-
Exploring the heterogeneous design space for both performance and reliabilityUbal, Rafael / Schaa, Dana / Mistry, Perhaad / Gong, Xiang / Ukidave, Yash / Chen, Zhongliang / Schirner, Gunar / Kaeli, David et al. | 2014
- 1
-
The first EDA MOOC: Teaching design automation to planet earthRutenbar, Rob A. et al. | 2014
- 1
-
Coverage Learned Targeted Validation for incremental HW changesFarkash, Monica / Hickerson, Bryan / Behm, Michael et al. | 2014
- 1
-
An optimal microarchitecture for stencil computation acceleration based on non-uniform partitioning of data reuse buffersCong, Jason / Li, Peng / Xiao, Bingjun / Zhang, Peng et al. | 2014
- 1
-
Disease diagnosis-on-a-chip: Large scale networks-on-chip based multicore platform for protein folding analysisYuankun Xue, / Zhiliang Qian, / Bogdan, Paul / Fan Ye, / Chi-Ying Tsui, et al. | 2014
- 1
-
A rigorous graphical technique for predicting sub-harmonic injection locking in LC oscillatorsBhushan, Palak et al. | 2014
- 1
-
Datapath synthesis for overclocking: Online arithmetic for latency-accuracy trade-offsShi, Kan / Boland, David / Stott, Edward / Bayliss, Samuel / Constantinides, George A. et al. | 2014
- 1
-
Time-analysable non-partitioned shared caches for real-time multicore systemsSlijepcevic, Mladen / Kosmidis, Leonidas / Abella, Jaume / Quinones, Eduardo / Cazorla, Francisco J. et al. | 2014
- 1
-
A new asynchronous pipeline template for power and performance optimizationHo, Kuan-Hsien / Chang, Yao-Wen et al. | 2014
- 1
-
BTI-induced aging under random stress waveforms: Modeling, simulation and silicon validationSutaria, Ketul / Ramkumar, Athul / Zhu, Rongjun / Rajveev, Renju / Ma, Yao / Cao, Yu et al. | 2014
- 1
-
On timing closure: Buffer insertion for hold-violation removalPei-Ci Wu, / Wong, Martin D. F. / Nedelchev, Ivailo / Bhardwaj, Sarvesh / Parkhe, Vidyamani et al. | 2014
- 1
-
Leveraging pre-silicon data to diagnose out-of-specification failures in mixed-signal circuitsMukherjee, Parijat / Li, Peng et al. | 2014
- 1
-
An efficient real time fault detection and tolerance framework validated on the intel SCC processorRai, Devendra / Huang, Pengcheng / Stoimenov, Nikolay / Thiele, Lothar et al. | 2014
- 1
-
Multi-objective local-search optimization using reliability importance measuringKhosravi, Faramarz / Reimann, Felix / Glass, Michael / Teich, Jurgen et al. | 2014
- 1
-
Containing timing-related certification cost in automotive systems deploying complex hardwareKosmidis, Leonidas / Quinones, Eduardo / Abella, Jaume / Farrall, Glenn / Wartel, Franck / Cazorla, Francisco J. et al. | 2014
- 1
-
FALCON: A framework for hierarchical computation of metrics for component-based parameterized SoCsJavaid, Haris / Yachide, Yusuke / Su Myat Min Shwe, / Bokhari, Haseeb / Parameswaran, Sri et al. | 2014
- 1
-
Computation offloading by using timing unreliable components in real-time systemsWei Liu, / Jian-Jia Chen, / Anas, / Tei-Wei Kuo, / Qingxu Deng, et al. | 2014
- 1
-
MOSAIC: Mask optimizing solution with process window aware inverse correctionJhih-Rong Gao, / Xiaoqing Xu, / Bei Yu, / Pan, David Z. et al. | 2014
- 1
-
Directed Self-Assembly (DSA) Template Pattern VerificationXiao, Zigang / Du, Yuelin / Haitong Tian, / Wong, Martin D.F. / Yi, He / Wong, H.-S. Philip / Hongbo Zhang, et al. | 2014
- 1
-
Verification of transactional memory in POWER8Adir, Allon / Goodman, Dave / Hershcovich, Daniel / Hershkovitz, Oz / Hickerson, Bryan / Holtz, Karen / Kadry, Wisam / Koyfman, Anatoly / Ludden, John / Meissner, Charles et al. | 2014
- 1
-
Demystifying energy usage in smartphonesXiang Chen, / Yiran Chen, / Mian Dong, / Charlie Zhang, et al. | 2014
- 1
-
Early-stage power grid design: Extraction, modeling and optimizationZhuo, Cheng / Gan, Houle / Wei-Kai Shih, et al. | 2014
- 1
-
SHiFA: System-level hierarchy in run-time fault-aware management of many-core systemsFattah, Mohammad / Palesi, Maurizio / Liljeberg, Pasi / Plosila, Juha / Tenhunen, Hannu et al. | 2014
- 1
-
Write mode aware loop tiling for high performance low power volatile PCMQiu, Keni / Li, Qingan / Xue, Chun Jason et al. | 2014
- 1
-
An efficient two-level DC operating points finder for transistor circuitsDeng, Jian / Batselier, Kim / Zhang, Yang / Wong, Ngai et al. | 2014
- 1
-
POLAR 2.0: An effective routability-driven placerLin, Tao / Chu, Chris et al. | 2014
- 1
-
System-level floorplan-aware analysis of integrated CPU-GPUsNandakumar, Vivek S / Marek-Sadowska, Malgorzata et al. | 2014
- 1
-
Exact one-pass synthesis of digital microfluidic biochipsKeszocze, Oliver / Wille, Robert / Ho, Tsung-Yi / Drechsler, Rolf et al. | 2014
- 1
-
Design methodologies for 3D mixed signal integrated circuits: A practical 12-bit SAR ADC design caseLiu, Wulong / Chen, Guoqing / Han, Xue / Wang, Yu / Xe, Yuan / Yang, Huazhong et al. | 2014
- 1
-
An HDL-based system design methodology for multistandard RF SoC'sAtac, Aytac / Chen, Zhimiao / Liao, Lei / Wang, Yifan / Schleyer, Martin / Zhang, Ye / Wunderlich, Ralf / Heinen, Stefan et al. | 2014
- 1
-
On the simulation of NBTI-Induced performance degradation considering arbitrary temperature and voltage variationsWang, Ting / Xu, Qiang et al. | 2014
- 1
-
A red team/blue team assessment of functional analysis methods for malicious circuit identificationWaksman, Adam / Rajendran, Jeyavijayan / Suozzo, Matthew / Sethumadhavan, Simha et al. | 2014
- 1
-
Advanced techniques for designing stealthy hardware trojansTsoutsos, Nektarios Georgios / Konstantinou, Charalambos / Maniatakos, Michail et al. | 2014
- 1
-
Tile before multiplication: An efficient strategy to optimize DSP multiplier for accelerating prime field ECC for NIST curvesRoy, Debapriya Basu / Mukhopadhyay, Debdeep / Izumi, Masami / Takahashi, Junko et al. | 2014
- 1
-
On enhancing power benefits in 3D ICs: Block folding and bonding styles perspectiveMoongon Jung, / Taigon Song, / Yang Wan, / Yarui Peng, / Sung Kyu Lim, et al. | 2014
- 1
-
State-restrict MLC STT-RAM designs for high-reliable high-performance memory systemWen, Wujie / Zhang, Yaojun / Mengjie Mao, / Chen, Yiran et al. | 2014
- 1
-
Code coverage of assertions using RTL source code analysisAthavale, Viraj / Sai Ma, / Hertz, Samuel / Vasudevan, Shobha et al. | 2014
- 1
-
OD3P: On-Demand Page Paired PCMAsadinia, Marjan / Arjomand, Mohammad / Sarbazi-Azad, Hamid et al. | 2014
- 1
-
Simultaneous sizing, reference voltage and clamp voltage biasing for robustness, self-calibration and testability of STTRAM arraysMotaman, Seyedhamidreza / Ghosh, Swaroop et al. | 2014
- 1
-
Reliability-aware register binding for control-flow intensive designsLiang Chen, / Tahoori, Mehdi et al. | 2014
- 1
-
A highly flexible ring oscillator PUFMingze Gao, / Khai Lai, / Gang Qu, et al. | 2014
- 1
-
Software only, extremely compact, Keccak-based secure PRNG on ARM Cortex-MVan Herrewege, Anthony / Verbauwhede, Ingrid et al. | 2014
- 1
-
Deterministic crash recovery for NAND flash based storage systemsZhang, Chi / Wang, Yi / Wang, Tianzheng / Chen, Renhai / Liu, Duo / Shao, Zili et al. | 2014
- 1
-
Parallel hierarchical reachability analysis for analog verificationLin, Honghuang / Li, Peng et al. | 2014
- 1
-
NoC-sprinting: Interconnect for fine-grained sprinting in the dark silicon eraZhan, Jia / Xie, Yuan / Sun, Guangyu et al. | 2014
- 1
-
Power-aware NoCs through routing and topology reconfigurationParikh, Ritesh / Das, Reetuparna / Bertacco, Valeria et al. | 2014
- 1
-
MASH{fifo}: A hardware-based multiple cache simulator for rapid FIFO cache analysisSchneider, Josef / Peddersen, Jorgen / Parameswaran, Sri et al. | 2014
- 1
-
Ultra-low power design of wearable cardiac monitoring systemsBraojos, Ruben / Mamaghanian, Hossein / Dias, Alair / Ansaloni, Giovanni / Atienza, David / Rincon, Francisco J. / Murali, Srinivasan et al. | 2014
- 1
-
Ontology-guided conceptual analysis of design specificationsShankar, Arunprasath / Singh, Bhanu / Wolff, Francis / Papachristou, Christos et al. | 2014
- 1
-
Reducing latency in an SRAM/DRAM cache hierarchy via a novel Tag-Cache architectureHameed, Fazal / Bauer, Lars / Henkel, Jorg et al. | 2014
- 1
-
Resource efficient mobile communications for crowd-sensingWietfeld, Christian / Ide, Christoph / Dusza, Bjoern et al. | 2014
- 1
-
CGPA: Coarse-Grained Pipelined AcceleratorsFeng Liu, / Ghosh, Soumyadeep / Johnson, Nick P. / August, David I. et al. | 2014
- 1
-
REscope: High-dimensional statistical circuit simulation towards full failure region coverageWu, Wei / Wenyao Xu, / Krishnan, Rahul / Yen-Lung Chen, / He, Lei et al. | 2014
- 1
-
Design and implementation of a dynamic component model for federated AUTOSAR systemsZe Ni, / Kobetski, Avenir / Axelsson, Jakob et al. | 2014
- 1
-
VIX: Virtual Input Crossbar for efficient switch allocationSupriya Rao, / Jeloka, Supreet / Das, Reetuparna / Blaauw, David / Dreslinski, Ronald / Mudge, Trevor et al. | 2014
- 1
-
Branch-aware loop mapping on CGRAsHamzeh, Mahdi / Shrivastava, Aviral / Vrudhula, Sarma et al. | 2014
- 1
-
Row based dual-VDD island generation and placementXiang, Hua / Qian, Haifeng / Zhou, Ching / Lin, Yu-Shiang / Yee, Fanchieh / Sullivan, Andrew / Lu, Pong-Fei et al. | 2014
- 1
-
Battery management and application for energy-efficient buildingsWei, Tianshu / Kim, Taeyoung / Park, Sangyoung / Zhu, Qi / Tan, Sheldon X.-D. / Chang, Naehyuck / Ula, Sadrul / Maasoumy, Mehdi et al. | 2014
- 1
-
Practical functional and washing droplet routing for cross-contamination avoidance in digital microfluidic biochipsWang, Qin / Shen, Yiren / Yao, Hailong / Ho, Tsung-Yi / Cai, Yici et al. | 2014
- 1
-
Circuit camouflage integration for hardware IP protectionCocchi, Ronald P. / Baukus, James P. / Chow, Lap Wai / Wang, Bryan J. et al. | 2014
- 1
-
The EDA challenges in the dark silicon eraShafique, Muhammad / Garg, Siddharth / Henkel, Jorg / Marculescu, Diana et al. | 2014
- 1
-
Exploration of GPGPU register file architecture using domain-wall-shift-write based racetrack memoryMao, Mengjie / Wen, Wujie / Zhang, Yaojun / Chen, Yiran / Li, Hai et al. | 2014
- 1
-
System-level security for network processors with hardware monitorsHu, Kekai / Wolf, Tilman / Teixeira, Thiago / Tessier, Russell et al. | 2014
- 1
-
eButton: A wearable computer for health monitoring and personal assistanceSun, Mingui / Burke, Lora E. / Mao, Zhi-Hong / Chen, Yiran / Chen, Hsin-Chen / Bai, Yicheng / Li, Yuecheng / Li, Chengliu / Jia, Wenyan et al. | 2014
- 1
-
Exploiting shaper context to improve performance bounds of Ethernet AVB networksAxer, Philip / Thiele, Daniel / Ernst, Rolf / Diemer, Jonas et al. | 2014
- 1
-
An efficient wire routing and wire sizing algorithm for weight minimization of automotive systemsLin, Chung-Wei / Rao, Lei / Giusto, Paolo / D'Ambrosio, Joseph / Sangiovanni-Vincentelli, Alberto et al. | 2014
- 1
-
Fast and accurate full-chip extraction and optimization of TSV-to-wire couplingPeng, Yarui / Petranovic, Dusan / Sung Kyu Lim, et al. | 2014
- 1
-
Workload- and instruction-aware timing analysis - The missing link between technology and system-level resilienceKleeberger, Veit B. / Maier, Petra R. / Schlichtmann, Ulf et al. | 2014
- 1
-
Throughput optimization for SADP and e-beam based manufacturing of 1D layoutDing, Yixiao / Chu, Chris / Wai-Kei Mak, et al. | 2014
- 1
-
Overlay-aware detailed routing for self-aligned double patterning lithography using the cut processIou-Jen Liu, / Shao-Yun Fang, / Yao-Wen Chang, et al. | 2014
- 1
-
Sense-making from distributed and mobile sensing data: A middleware perspectiveSarma, Santanu / Venkatasubramanian, Nalini / Dutt, Nikil et al. | 2014
- 1
-
Computing with hybrid CMOS/STO circuitsKabir, Mehdi / Stan, Mircea et al. | 2014
- 1
-
Physics-based electromigration assessment for power grid networksXin Huang, / Tan Yu, / Sukharev, Valeriy / Tan, Sheldon X.-D. et al. | 2014
- 1
-
Scalable co-simulation of functional models With accurate event exchangeMunawar, Asim / Shimizu, Shuichi et al. | 2014
- 1
-
Reduction operator for wide-SIMDs reconsideredWaeijen, Luc / She, Dongrui / Corporaal, Henk / He, Yifan et al. | 2014
- 1
-
ePlace: Electrostatics based placement using Nesterov's methodLu, Jingwei / Chen, Pengwen / Chang, Chin-Chih / Sha, Lu / Huang, Dennis J-.H. / Teng, Chin-Chi / Cheng, Chung-Kuan et al. | 2014
- 1
-
Hardware-in-the-loop simulation for CPU/GPU heterogeneous platformsKo, Youngsub / Kim, Taeyoung / Yi, Youngmin / Kim, Myungsun / Ha, Soonhoi et al. | 2014
- 1
-
Retention trimming for wear reduction of flash memory storage systemsShi, Liang / Wu, Kaijie / Zhao, Mengying / Xue, Chun Jason / Sha, Edwin H.-M. et al. | 2014
- 1
-
Detecting reliability attacks during split fabrication using test-only BEOL stackVaidyanathan, Kaushik / Das, Bishnu P / Pileggi, Larry et al. | 2014
- 1
-
Data mining in EDA - Basic principles, promises, and constraintsWang, Li-C. / Abadir, Magdy S. et al. | 2014
- 1
-
Run-time technique for simultaneous aging and power optimization in GPGPUsChen, Xiaoming / Wang, Yu / Liang, Yun / Xie, Yuan / Yang, Huazhong et al. | 2014
- 1
-
Designing stealthy Trojans with sequential logic: A stream cipher case studyRudra, Mukesh Reddy / Daniel, Nimmy Anna / Nagoorkar, Varun / Hoe, David H. K. et al. | 2014
- 1
-
A side-channel analysis resistant reconfigurable cryptographic coprocessor supporting multiple block cipher algorithmsShan, Weiwei / Shi, Longxing / Fu, Xingyuan / Zhang, Xiao / Tian, Chaoxuan / Xu, Zhipeng / Yang, Jun / Li, Jie et al. | 2014
- 1
-
Secure memristor-based main memoryKannan, Sachhidh / Karimi, Naghmeh / Sinanoglu, Ozgur et al. | 2014
- 1
-
Thermal implications of on-chip voltage regulation: Upcoming challenges and possible solutionsKose, Selcuk et al. | 2014
- 1
-
Power management through DVFS and dynamic body biasing in FD-SOI circuitsAkgul, Yeter / Puschini, Diego / Lesecq, Suzanne / Beigne, Edith / Miro-Panades, Ivan / Benoit, Pascal / Torres, Lionel et al. | 2014
- 1
-
Synthesis of PCHB-WCHB hybrid quasi-delay insensitive circuitsChuang, Chi-Chuan / Lai, Yi-Hsiang / Jiang, Jie-Hong R. et al. | 2014
- 1
-
Copyright page| 2014
- 1
-
Architecting dynamic power management to be formally verifiableSorin, Daniel J. / Matthews, Opeoluwa / Zhang, Meng et al. | 2014
- 1
-
ASER: Adaptive soft error resilience for Reliability-Heterogeneous Processors in the dark silicon eraKriebel, Florian / Rehman, Semeen / Duo Sun, / Shafique, Muhammad / Henkel, Jorg et al. | 2014
- 1
-
Advanced soft-error-rate (SER) estimation with striking-time and multi-cycle effectsHuang, Ryan H.-M. / Wen, Charles H.-P. et al. | 2014
- 1
-
Monitoring reliability in embedded processors - A multi-layer viewChandra, Vikas et al. | 2014
- 1
-
Multi-layer dependability: From microarchitecture to application levelHenkel, Jorg / Bauer, Lars / Zhang, Hongyan / Rehman, Semeen / Shafique, Muhammad et al. | 2014
- 1
-
Design methods for augmented reality in-vehicle infotainment systemsQing Rao, / Grunler, Christian / Hammori, Markus / Chakrabort, Samarjit et al. | 2014
- 1
-
MATEX: A distributed framework for transient simulation of power distribution networksZhuang, Hao / Weng, Shih-Hung / Jeng-Hau Lin, / Cheng, Chung-Kuan et al. | 2014
- 1
-
Reverse engineering and prevention techniques for physical unclonable functions using side channelsWei, Sheng / Wendt, James B. / Nahapetiany, Ani / Potkonjak, Miodrag et al. | 2014
- 1
-
An automobile detection algorithm development for automated emergency braking systemLikun Xia, / Tran Duc Chung, / Kassim, Khairil Anwar Abu et al. | 2014
- 1
-
Automated specification and verification of functional safety in heavy-vehicles: The VeriSpec approachRodriguez-Navas, Guillermo / Seceleanu, Cristina / Hansson, Hans / Nyberg, Mattias / Ljungkrantz, Oscar / Lonn, Henrik et al. | 2014
- 1
-
Density-aware detailed placement with instant legalizationPopovych, Sergiy / Lai, Hung-Hao / Wang, Chieh-Min / Li, Yih-Lang / Liu, Wen-Hao / Wang, Ting-Chi et al. | 2014
- 1
-
On the scheduling of fault-tolerant mixed-criticality systemsHuang, Pengcheng / Yang, Hoeseok / Thiele, Lothar et al. | 2014
- 1
-
Statistical battery models and variation-aware battery managementShin, Donghwa / Macii, Enrico / Poncino, Massimo et al. | 2014
- 1
-
An efficient bi-criteria flow channel routing algorithm for flow-based microfluidic biochipsLin, Chun-Xun / Liu, Chih-Hung / Chen, I-Che / Lee, D. T. / Ho, Tsung-Yi et al. | 2014
- 1
-
Robust and in-situ self-testing technique for monitoring device aging effects in pipeline circuitsLi, Jiangyi / Seok, Mingoo et al. | 2014
- 1
-
Techniques for foundry identificationWendt, James B. / Koushanfar, Farinaz / Potkonjak, Miodrag et al. | 2014
- 1
-
Hardware/software co-design of elliptic-curve cryptography for resource-constrained applicationsHoller, Andrea / Druml, Norbert / Kreiner, Christian / Steger, Christian / Felicijan, Tomaz et al. | 2014
- 1
-
Fast and accurate thermal modeling and optimization for monolithic 3D ICsSamal, Sandeep Kumar / Panth, Shreepad / Samadi, Kambiz / Saedi, Mehdi / Du, Yang / Lim, Sung Kyu et al. | 2014
- 1
-
A multiple equivalent execution trace approach to secure cryptographic embedded softwareAgosta, Giovanni / Barenghi, Alessandro / Pelosi, Gerardo / Scandale, Michele et al. | 2014
- 1
-
Title page| 2014
- 1
-
Aspect-oriented modeling of attacks in automotive Cyber-Physical SystemsWasicek, Armin / Derler, Patricia / Lee, Edward A et al. | 2014
- 1
-
On the design of reliable 3D-ICs considering charged device model ESD events during die stackingDuckhwan Kim, / Mukhopadhyay, Saibal et al. | 2014
- 1
-
GUARD: GUAranteed reliability in dynamically reconfigurable systemsZhang, Hongyan / Kochte, Michael A. / Imhof, Michael E. / Bauer, Lars / Wunderlich, H.-J. / Henkel, Jorg et al. | 2014
- 1
-
Typical worst case response-time analysis and its use in automotive network designQuinton, Sophie / Bone, Torsten T. / Hennig, Julien / Neukirchner, Moritz / Negrean, Mircea / Ernst, Rolf et al. | 2014
- 1
-
Post-silicon validation of the IBM POWER8 processorNahir, Amir / Dusanapudi, Manoj / Kapoor, Shakti / Reick, Kevin / Roesner, Wolfgang / Schubert, Klaus-Dieter / Sharp, Keith / Wetli, Greg et al. | 2014
- 1
-
Neuro inspired computing with coupled relaxation oscillatorsDatta, Suman / Shukla, Nikhil / Cotter, Matthew / Parihar, Abhinav / Raychowdhury, Arijit et al. | 2014
- 1
-
dTune: Leveraging reliable code generation for adaptive dependability tuning under process variation and aging-induced effectsRehman, Semeen / Kriebel, Florian / Duo Sun, / Shafique, Muhammad / Henkel, Jorg et al. | 2014
- 1
-
Low power GPGPU computation with imprecise hardwareZhang, Hang / Putic, Mateja / Lach, John et al. | 2014
- 1
-
CAP: Communication aware programmingHeisswolf, Jan / Zaib, Aurang / Zwinkau, Andreas / Kobbe, Sebastian / Weichslgartner, Andreas / Teich, Jurgen / Henkel, Jorg / Snelting, Gregor / Herkersdorf, Andreas / Becker, Jurgen et al. | 2014
- 1
-
Selective inversion of inductance matrix for large-scale sparse RLC simulationApostolopoulou, Ifigeneia / Daloukas, Konstantis / Evmorfopoulos, Nestor / Stamoulis, George et al. | 2014
- 1
-
Reinforcement learning-based inter- and intra-application thermal optimization for lifetime improvement of multicore systemsDas, Anup / Shafik, Rishad A. / Merrett, Geoff V. / Al-Hashimi, Bashir M. / Kumar, Akash / Veeravalli, Bharadwaj et al. | 2014
- 1
-
Accelerator-rich architectures: Opportunities and progressesCong, Jason / Ghodrat, Mohammad Ali / Gill, Michael / Grigorian, Beayna / Gururaj, Karthik / Reinman, Glenn et al. | 2014
- 1
-
TI-TRNG: Technology independent true random number generatorRahman, Md. Tauhidur / Xiao, Kan / Forte, Domenic / Zhang, Xuhei / Shi, Jerry / Tehranipoor, Mohammad et al. | 2014
- 1
-
Power-aware deployment and control of forced-convection and thermoelectric coolersDousti, Mohammad Javad / Pedram, Massoud et al. | 2014
- 1
-
Majority-Inverter Graph: A novel data-structure and algorithms for efficient logic optimizationAmaru, Luca / Gaillardon, Pierre-Emmanuel / De Micheli, Giovanni et al. | 2014
- 1
-
An efficient STT-RAM last level cache architecture for GPUsSamavatian, Mohammad Hossein / Abbasitabar, Hamed / Arjomand, Mohammad / Sarbazi-Azad, Hamid et al. | 2014
- 1
-
C-Mine: Data mining of logic common cases for low power synthesis of Better-Than-Worst-Case designsLin, Chen-Hsuan / Wan, Lu / Chen, Deming et al. | 2014
- 1
-
Post-routing latch optimization for timing closureHeld, Stephan / Schorr, Ulrike et al. | 2014
- 1
-
Translation validation for stateflow to CSampath, Prahladavaradan / Rajeev, A. C. / Ramesh, S. et al. | 2014
- 1
-
BMF-BD: Bayesian model fusion on Bernoulli distribution for efficient yield estimation of integrated circuitsChenlei Fang, / Fan Yang, / Xuan Zeng, / Xin Li, et al. | 2014
- 1
-
SLC-enabled wear leveling for MLC PCM considering process variationMengying Zhao, / Jiang, Lei / Zhang, Youtao / Xue, Chun Jason et al. | 2014
- 1
-
Balancing scalability and uniformity in SAT witness generatorChakraborty, Supratik / Meel, Kuldeep S. / Vardi, Moshe Y. et al. | 2014
- 1
-
Metasynthesis for Designing Automotive SoCsEcker, Wolfgang / Velten, Michael / Zafari, Leily / Goyal, Ajay et al. | 2014
- 1
-
ApproxIt: An approximate computing framework for iterative methodsQian Zhang, / Yuan, Feng / Ye, Rong / Xu, Qiang et al. | 2014
- 1
-
PUFatt: Embedded platform attestation based on novel processor-based PUFsKong, Joonho / Koushanfar, Farinaz / Pendyala, Praveen K. / Sadeghi, Ahmad-Reza / Wachsmann, Christian et al. | 2014
- 1
-
Steep slope devices: Enabling new architectural paradigmsSwaminathan, Karthik / Liu, Huichu / Li, Xueqing / Kim, Moon Seok / Sampson, Jack / Narayanan, Vijaykrishnan et al. | 2014
- 1
-
A design methodology for compositional high-level synthesis of communication-centric SoCsDi Guglielmo, Giuseppe / Pilato, Christian / Carloni, Luca P. et al. | 2014
- 1
-
An approximate computing technique for reducing the complexity of a direct-solver for sparse linear systems in real-time video processingSchaffner, Michael / Gurkaynak, Frank K. / Smolic, Aljosa / Kaeslin, Hubert / Benini, Luca et al. | 2014
- 1
-
Hardware-assisted fine-grained control-flow integrity: Towards efficient protection of embedded systems against software exploitationDavi, Lucas / Koeberl, Patrick / Sadeghi, Ahmad-Reza et al. | 2014
- 1
-
Scalable certification framework for behavioral synthesis front-endYang, Zhenkun / Hao, Kecheng / Cong, Kai / Lei, Li / Ray, Sandip / Xie, Fei et al. | 2014
- 1
-
Equivalence verification of large Galois field arithmetic circuits using word-level abstraction via Gröbner basesPruss, Tim / Kalla, Priyank / Enescu, Florian et al. | 2014
- 1
-
Thermal-sustainable power budgeting for dynamic threadingHu, Xing / Xu, Yi / Ma, Jun / Chen, Guoqing / Hu, Yu / Xie, Yuan et al. | 2014
- 1
-
Variation aware cache partitioning for multithreaded programsKozhikkottu, Vivek / Pan, Abhisek / Pai, Vijay / Dey, Sujit / Raghunathan, Anand et al. | 2014
- 1
-
QMS: Evaluating the side-channel resistance of masked software from source codeEldib, Hassan / Wang, Chao / Taha, Mostafa / Schaumont, Patrick et al. | 2014
- 1
-
Floorplanning and signal assignment for silicon interposer-based 3D ICsLiu, Wen-Hao / Min-Sheng Chang, / Wang, Ting-Chi et al. | 2014
- 1
-
Integrated CPU-GPU power management for 3D mobile gamesPathania, Anuj / Qing Jiao, / Prakash, Alok / Mitra, Tulika et al. | 2014
- 1
-
Content-centric display energy management for mobile devicesDongwon Kim, / Nohyun Jung, / Hojung Cha, et al. | 2014
- 1
-
A secure but still safe and low cost automotive communication techniqueZalman, Rafael / Mayer, Albrecht et al. | 2014
- 1
-
Power-performance study of block-level monolithic 3D-ICs considering inter-tier performance variationsPanth, Shreepad / Samadi, Kambiz / Du, Yang / Lim, Sung Kyu et al. | 2014
- 1
-
A cost efficient online algorithm for automotive idling reductionDong, Chuansheng / Zeng, Haibo / Chen, Minghua et al. | 2014
- 1
-
Fault-tolerant routing for on-chip network without using virtual channelsRen, Pengju / Qingxin Meng, / Xiaowei Ren, / Zheng, Nanning et al. | 2014
- 1
-
Walking pads: Managing C4 placement for transient voltage noise minimizationWang, Ke / Meyer, Brett H. / Zhang, Runjie / Stan, Micrea / Skadron, Kevin et al. | 2014
- 1
-
Automatic verification of Floating Point UnitsKrautz, Udo / Paruthi, Viresh / Arunagiri, Anand / Kumar, Sujeet / Pujar, Shweta / Babinsky, Tina et al. | 2014
- 1
-
FPGA security: From features to capabilities to trusted systemsTrimberger, Steve / Moore, Jason et al. | 2014
- 1
-
Enabling efficient analog synthesis by coupling sparse regression and polynomial optimizationWang, Ye / Orshansky, Michael / Caramanis, Constantine et al. | 2014
- 1
-
Quality-of-service for a high-radix switchAbeyratne, Nilmini / Jeloka, Supreet / Kang, Yiping / Blaauw, David / Dreslinski, Ronald G. / Das, Reetuparna / Mudge, Trevor et al. | 2014
- 1
-
Functional ECO using metal-configurable gate-array spare cellsChang, Hua-Yu / Jiang, Iris Hui-Ru / Chang, Yao-Wen et al. | 2014
- 1
-
Parallel FPGA routing based on the operator formulationMoctar, Yehdhih Ould Mohammed / Brisk, Philip et al. | 2014
- 1
-
Radiation-induced soft error analysis of SRAMs in SOI FinFET technology: A device to circuit approachKiamehr, Saman / Osiecki, Thomas / Tahoori, Mehdi / Nassif, Sani et al. | 2014
- 1
-
Protecting SRAM-based FPGAs against multiple bit upsets using erasure codesRao, Parthasarathy M.B. / Ebrahimi, Mojtaba / Seyyedi, Razi / Tahoori, Mehdi B. et al. | 2014