SiGe HBT with fx/fmax of 505 GHz/720 GHz (Englisch)
- Neue Suche nach: Heinemann, B.
- Neue Suche nach: Rucker, H.
- Neue Suche nach: Barth, R.
- Neue Suche nach: Barwolf, F.
- Neue Suche nach: Drews, J.
- Neue Suche nach: Fischer, G. G.
- Neue Suche nach: Fox, A.
- Neue Suche nach: Fursenko, O.
- Neue Suche nach: Grabolla, T.
- Neue Suche nach: Herzel, F.
- Neue Suche nach: Katzer, J.
- Neue Suche nach: Korn, J.
- Neue Suche nach: Kruger, A.
- Neue Suche nach: Kulse, P.
- Neue Suche nach: Lenke, T.
- Neue Suche nach: Lisker, M.
- Neue Suche nach: Marschmeyer, S.
- Neue Suche nach: Scheit, A.
- Neue Suche nach: Schmidt, D.
- Neue Suche nach: Schmidt, J.
- Neue Suche nach: Schubert, M. A.
- Neue Suche nach: Trusch, A.
- Neue Suche nach: Wipf, C.
- Neue Suche nach: Wolansky, D.
- Neue Suche nach: Heinemann, B.
- Neue Suche nach: Rucker, H.
- Neue Suche nach: Barth, R.
- Neue Suche nach: Barwolf, F.
- Neue Suche nach: Drews, J.
- Neue Suche nach: Fischer, G. G.
- Neue Suche nach: Fox, A.
- Neue Suche nach: Fursenko, O.
- Neue Suche nach: Grabolla, T.
- Neue Suche nach: Herzel, F.
- Neue Suche nach: Katzer, J.
- Neue Suche nach: Korn, J.
- Neue Suche nach: Kruger, A.
- Neue Suche nach: Kulse, P.
- Neue Suche nach: Lenke, T.
- Neue Suche nach: Lisker, M.
- Neue Suche nach: Marschmeyer, S.
- Neue Suche nach: Scheit, A.
- Neue Suche nach: Schmidt, D.
- Neue Suche nach: Schmidt, J.
- Neue Suche nach: Schubert, M. A.
- Neue Suche nach: Trusch, A.
- Neue Suche nach: Wipf, C.
- Neue Suche nach: Wolansky, D.
In:
2016 IEEE International Electron Devices Meeting (IEDM)
;
3.1.1-3.1.4
;
2016
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:SiGe HBT with fx/fmax of 505 GHz/720 GHz
-
Beteiligte:Heinemann, B. ( Autor:in ) / Rucker, H. ( Autor:in ) / Barth, R. ( Autor:in ) / Barwolf, F. ( Autor:in ) / Drews, J. ( Autor:in ) / Fischer, G. G. ( Autor:in ) / Fox, A. ( Autor:in ) / Fursenko, O. ( Autor:in ) / Grabolla, T. ( Autor:in ) / Herzel, F. ( Autor:in )
-
Erschienen in:2016 IEEE International Electron Devices Meeting (IEDM) ; 3.1.1-3.1.4
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.12.2016
-
Format / Umfang:562314 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
[Copyright notice]| 2016
- 1
-
Welcome from the general chair| 2016
- 1
-
Executive committee| 2016
- 1
-
Award presentations [various awards]| 2016
- 1.1.1
-
Technology scaling challenges and opportunities of memory devicesLee, Seok-Hee et al. | 2016
- 1.3.1
-
Symbiotic low-power, smart and secure technologies in the age of hyperconnectivitySemeria, M. N. et al. | 2016
- 2.1.1
-
FPGA design and system optimizations with new technologiesChong, N. / Jing, J. / Liu, H. / Refai-Ahmed, G. / Wu, S. / Wu, X. et al. | 2016
- 2.2.1
-
22nm FDSOI technology for emerging mobile, Internet-of-Things, and RF applicationsCarter, R. / Mazurier, J. / Pirro, L. / Sachse, J-U. / Baars, P. / Faul, J. / Grass, C. / Grasshoff, G. / Javorka, P. / Kammler, T. et al. | 2016
- 2.3.1
-
First fully functionalized monolithic 3D+ IoT chip with 0.5 V light-electricity power management, 6.8 GHz wireless-communication VCO, and 4-layer vertical ReRAMHsueh, Fu-Kuo / Shen, Chang-Hong / Shieh, Jia-Min / Li, Kai-Shin / Chen, Hsiu-Chih / Huang, Wen-Hsien / Wang, Hsing-Hsiang / Yang, Chih-Chao / Hsieh, Tung-Ying / Lin, Chang-Hsien et al. | 2016
- 2.4.1
-
A 300mm foundry HRSOI technology with variable silicon thickness for integrated FEM applicationsToh, Rui Tze / Parthasarathy, Shyam / Sun, Tao / Zhang, Shaoqiang / Purakh, Raj Verma / Zhu, Chao Song / Nune, Venkata Sudheer / Wong, Jen Shuang / Govindarajan, Madabusi / Yoo, Yong Koo et al. | 2016
- 2.5.1
-
A 14nm FinFET transistor-level 3D partitioning design to enable high-performance and low-cost monolithic 3D ICShi, Jiajun / Nayak, Deepak / Banna, Srinivasa / Fox, Robert / Samavedam, Srikanth / Samal, Sandeep / Lim, Sung Kyu et al. | 2016
- 2.6.1
-
A 7nm CMOS platform technology featuring 4th generation FinFET transistors with a 0.027um2 high density 6-T SRAM cell for mobile SoC applicationsWu, Shien-Yang / Lin, C.Y. / Chiang, M.C. / Liaw, J.J. / Cheng, J.Y. / Yang, S.H. / Tsai, C.H. / Chen, P.N. / Miyashita, T. / Chang, C.H. et al. | 2016
- 2.7.1
-
A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channelsXie, R. / Montanini, P. / Akarvardar, K. / Tripathi, N. / Haran, B. / Johnson, S. / Hook, T. / Hamieh, B. / Corliss, D. / Wang, J. et al. | 2016
- 3.1.1
-
SiGe HBT with fx/fmax of 505 GHz/720 GHzHeinemann, B. / Rucker, H. / Barth, R. / Barwolf, F. / Drews, J. / Fischer, G. G. / Fox, A. / Fursenko, O. / Grabolla, T. / Herzel, F. et al. | 2016
- 3.2.1
-
InGaAs tri-gate MOSFETs with record on-currentZota, Cezar B. / Lindelow, Fredrik / Wernersson, Lars-Erik / Lind, Erik et al. | 2016
- 3.3.1
-
High frequency GaN HEMTs for RF MMIC applicationsMicovic, M. / Brown, D. F. / Regan, D. / Wong, J. / Tang, Y. / Herrault, F. / Santos, D. / Burnham, S. D. / Tai, J. / Prophet, E. et al. | 2016
- 3.4.1
-
Electric-field induced F− migration in self-aligned InGaAs MOSFETs and mitigationCai, Xiaowei / Lin, Jianqiang / Antoniadis, Dimitri A. / del Alamo, Jesus A. et al. | 2016
- 3.5.1
-
W-band N-polar GaN MISHEMTs with high power and record 27.8% efficiency at 94 GHzRomanczyk, B. / Guidry, M. / Wienecke, S. / Li, H. / Ahmadi, E. / Zheng, X. / Keller, S. / Mishra, U. K. et al. | 2016
- 3.6.1
-
Monolithic integration of multiple III-V semiconductors on Si for MOSFETs and TFETsSchmid, H. / Cutaia, D. / Gooth, J. / Wirths, S. / Bologna, N. / Moselund, K. E. / Riel, H. et al. | 2016
- 3.7.1
-
Study of RF-circuit linearity performance of GaN HEMT technology using the MVSG compact device modelRadhakrishna, Ujwal / Choi, Pilsoon / Grajal, Jesus / Peh, Li-Shiuan / Palacios, Tomas / Antoniadis, Dimitri et al. | 2016
- 4.1.1
-
Towards ultimate scaling limits of phase-change memoryXiong, F. / Yalon, E. / Behnam, A. / Neumann, C.M. / Grosse, K.L. / Deshmukh, S. / Pop, E. et al. | 2016
- 4.2.1
-
ALD-based confined PCM with a metallic liner toward unlimited enduranceKim, W. / BrightSky, M. / Masuda, T. / Sosa, N. / Kim, S. / Bruce, R. / Carta, F. / Fraczak, G. / Cheng, H. Y. / Ray, A. et al. | 2016
- 4.3.1
-
SiOx-based resistive switching memory (RRAM) for crossbar storage/select elements with high on/off ratioBricalli, A. / Ambrosi, E. / Laudato, M. / Maestro, M. / Rodriguez, R. / Ielmini, D. et al. | 2016
- 4.4.1
-
Forming-free metal-oxide ReRAM by oxygen ion implantation processKim, Wonjoo / Hardtdegen, Alexander / Rodenbucher, Christian / Menzel, Stephan / Wouters, Dirk J. / Hoffmann-Eifert, Susanne / Buca, Dan / Waser, Rainer / Rana, Vikas et al. | 2016
- 4.5.1
-
Understanding RRAM endurance, retention and window margin trade-off using experimental results and simulationsNail, C. / Molas, G. / Blaise, P. / Piccolboni, G. / Sklenard, B. / Cagli, C. / Bernard, M. / Roule, A. / Azzaz, M. / Vianello, E. et al. | 2016
- 4.6.1
-
Statistical investigation of the impact of program history and oxide-metal interface on OxRRAM retentionChen, C.Y. / Fantini, A. / Degraeve, R. / Redolfi, A. / Groeseneken, G. / Goux, L. / Kar, G S. et al. | 2016
- 4.7.1
-
Fundamental variability limits of filament-based RRAMGrossi, A. / Nowak, E. / Zambelli, C. / Pellissier, C. / Bernasconi, S. / Cibrario, G. / Hajjam, K. El / Crochemore, R. / Nodin, J.F. / Olivo, P. et al. | 2016
- 4.8.1
-
True random number generator using current difference based on a fractional stochastic model in 40-nm embedded ReRAMWei, Z. / Katoh, Y. / Ogasahara, S. / Yoshimoto, Y. / Kawai, K. / Ikeda, Y. / Eriguchi, K. / Ohmori, K. / Yoneda, S. et al. | 2016
- 5.1.1
-
Carbon nanotube complementary logic with low-temperature processed end-bonded metal contactsTang, Jianshi / Cao, Qing / Farmer, Damon B. / Tulevski, George / Han, Shu-Jen et al. | 2016
- 5.2.1
-
First demonstration of a wrap-gated CNT-FET with vertically-suspended channelsLee, Dongil / Lee, Byung-Hyun / Yoon, Jinsu / Choi, Bongsik / Park, Jun-Young / Ahn, Dae-Chul / Kim, Choong-Ki / Hwang, Byeong-Woon / Jeon, Seung-Bae / Ahn, Hyun Jun et al. | 2016
- 5.3.1
-
Record low metal — (CVD) graphene contact resistance using atomic orbital overlap engineeringMeersha, Adil / Variar, H. B. / Bhardwaj, K. / Mishra, A. / Raghavan, S. / Bhat, N. / Shrivastava, Mayank et al. | 2016
- 5.4.1
-
First-principles simulations of 2-D semiconductor devices: Mobility, I-V characteristics, and contact resistanceLuisier, M. / Szabo, A. / Stieger, C. / Klinkert, C. / Bruck, S. / Jain, A. / Novotny, L. et al. | 2016
- 5.5.1
-
Few-layer black phosporous PMOSFETs with BN/AI2O3 bilayer gate dielectric: Achieving Ion=850μA/μm, gm=340μS/μm, and Rc=0.58kΩ·μmYang, L.M. / Qiu, G. / Si, M.W. / Charnas, A.R. / Milligan, C.A. / Zemlyanov, D. Y. / Zhou, H. / Du, Y.C. / Lin, Y.M. / Tsai, W. et al. | 2016
- 5.6.1
-
Approaching ballistic transport in monolayer MoS2 transistors with self-aligned 10 nm top gatesEnglish, Chris D. / Smithe, Kirby K. H. / Xu, Runjie Lily / Pop, Eric et al. | 2016
- 5.7.1
-
High-yield large area MoS2 technology: Material, device and circuits co-optimizationYu, L. / El-Damak, D. / Radhakrishna, U. / Zubair, A. / Piedra, D. / Ling, X. / Lin, Y. / Zhang, Y. / Lee, Y.-H. / Antoniadis, D. et al. | 2016
- 5.8.1
-
Quantitative evaluation of energy distribution of interface trap density at MoS2 MOS interfaces by the Terman methodTakenaka, M. / Ozawa, Y. / Han, J. / Takagi, S. et al. | 2016
- 6.1.1
-
High performance, flexible CMOS circuits and sensors toward wearable healthcare applicationsTakei, Kuniharu et al. | 2016
- 6.2.1
-
Circuits and systems for energy efficient smart wearablesSharma, Ajit / Pande, Tarkesh / Aroul, Praveen / Soundarapandian, Karthik / Lee, Wai et al. | 2016
- 6.3.1
-
Flexible metal-oxide thin film transistor circuits for RFID and health patchesHeremans, P. / Papadopoulos, N. / de Jamblinne de Meux, A. / Nag, M. / Steudel, S. / Rockele, M. / Gelinck, G. / Tripathi, A. / Genoe, J. / Myny, K. et al. | 2016
- 6.4.1
-
Challenges and opportunities in flexible electronicsBringans, R. D. / Veres, Janos et al. | 2016
- 6.5.1
-
Advanced integrated sensor and layer transfer technologies for wearable bioelectronicsAlharbi, A. / Nasri, B. / Wu, T. / Shahrjerdi, D. et al. | 2016
- 6.6.1
-
Wearable sweat biosensorsGao, Wei / Nyein, Hnin Y. Y. / Shahpar, Ziba / Tai, Li-Chia / Wu, Eric / Bariya, Mallika / Ota, Hiroki / Fahad, Hossain M. / Chen, Kevin / Javey, Ali et al. | 2016
- 6.7.1
-
Flexible metamaterials, comprising multiferroic filmsLee, Y. P. / Yoo, Y. J. / Kim, Y. J. / Son, H. M. / Hwang, J. S. et al. | 2016
- 7.1.1
-
A novel synthesis of Rent's rule and effective-media theory predicts FEOL and BEOL reliability of self-heated ICsAhn, Woojin / Jiang, Hai / Shin, SangHoon / Alam, Muhammad Ashraful et al. | 2016
- 7.2.1
-
New approach for understanding “random device physics” from channel percolation perspectives: Statistical simulations, key factors and experimental resultsZhang, Zhe / Zhang, Zexuan / Wang, Runsheng / Jiang, Xiaobo / Guo, Shaofeng / Wang, Yangyuan / Wang, Xingsheng / Cheng, Binjie / Asenov, Asen / Huang, Ru et al. | 2016
- 7.3.1
-
Oxide-based analog synapse: Physical modeling, experimental characterization, and optimizationGao, Bin / Wu, Huaqiang / Kang, Jinfeng / Yu, Hongyu / Qian, He et al. | 2016
- 7.4.1
-
Extending the bounds of performance in E-mode p-channel GaN MOSHFETsKumar, A. / De Souza, M. M. et al. | 2016
- 7.5.1
-
NSP: Physical compact model for stacked-planar and vertical Gate-All-Around MOSFETsRozeau, O. / Martinie, S. / Poiroux, T. / Triozon, F. / Barraud, S. / Lacord, J. / Niquet, Y. M. / Tabone, C. / Coquand, R. / Augendre, E. et al. | 2016
- 7.6.1
-
A physics-based compact model for material- and operation-oriented switching behaviors of CBRAMZhao, Y. D. / Hu, J. J. / Huang, P. / Yuan, F. / Chai, Y. / Liu, X. Y. / Kang, J. F. et al. | 2016
- 7.7.1
-
Multi-domain compact modeling for GeSbTe-based memory and selector devices and simulation for large-scale 3-D cross-point memory arraysXu, Nuo / Wang, Jing / Deng, Yexin / Lu, Yang / Fu, Bo / Choi, Woosung / Monga, Udit / Jeon, Jongwook / Kim, Jongchol / Lee, Keun-Ho et al. | 2016
- 8.1.1
-
Backside illuminated SPAD image sensor with 7.83μm pitch in 3D-stacked CMOS technologyAbbas, T. Al / Dutton, N. A. W. / Almer, O. / Pellegrini, S. / Henrion, Y. / Henderson, R. K. et al. | 2016
- 8.2.1
-
256×256, 100kfps, 61% Fill-factor time-resolved SPAD image sensor for microscopy applicationsGyongy, I. / Calder, N. / Davies, A. / Dutton, N. A. W. / Dalgarno, P. / Duncan, R. / Rickman, C. / Henderson, R.K. et al. | 2016
- 8.3.1
-
An APD-CMOS image sensor toward high sensitivity and wide dynamic rangeMori, M. / Sakata, Y. / Usuda, M. / Kasuga, S. / Yamahira, S. / Hirose, Y. / Kato, Y. / Odagawa, A. / Tanaka, T. et al. | 2016
- 8.4.1
-
Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bondingKagawa, Y. / Fujii, N. / Aoyagi, K. / Kobayashi, Y. / Nishi, S. / Todaka, N. / Takeshita, S. / Taura, J. / Takahashi, H. / Nishimura, Y. et al. | 2016
- 8.5.1
-
An over 1Mfps global shutter CMOS image sensor with 480 frame storage using vertical analog memory integrationSuzuki, M. / Kuroda, R. / Kumagai, Y. / Chiba, A. / Miura, N. / Kuriyama, N. / Sugawa, S. et al. | 2016
- 8.6.1
-
A 1.8e− temporal noise over 90dB dynamic range 4k2k super 35mm format seamless global shutter CMOS image sensor with multiple accumulation shutter technologyKawabata, Kazunari / Kobayashi, Masahiro / Onuki, Yusuke / Sekine, Hiroshi / Tsuboi, Toshiki / Matsuno, Yasushi / Takahashi, Hidekazu / Inoue, Shunsuke / Ichikawa, Takeshi et al. | 2016
- 8.7.1
-
Four-directional pixel-wise polarization CMOS image sensor using air-gap wire grid on 2.5-μm back-illuminated pixelsYamazaki, Tomohiro / Maruyama, Yasushi / Uesaka, Yusuke / Nakamura, Motoaki / Matoba, Yoshihisa / Terada, Takashi / Komori, Kenta / Ohba, Yoshiyuki / Arakawa, Shinichi / Hirasawa, Yasutaka et al. | 2016
- 9.1.1
-
Footprint-efficient and power-saving monolithic IoT 3D+ IC constructed by BEOL-compatible sub-10nm high aspect ratio (AR>7) single-grained Si FinFETs with record high Ion of 0.38 mA/μm and steep-swing of 65 mV/dec. and Ion/Ioff ratio of 8Yang, Chih-Chao / Shieh, Jia-Min / Hsieh, Tung-Ying / Huang, Wen-Hsien / Wang, Hsing-Hsiang / Shen, Chang-Hong / Hsueh, Fu-Kuo / Hsieh, Ping-Yi / Wu, Meng-Chyi / Yeh, Wen-Kuan et al. | 2016
- 9.2.1
-
An advanced 3D/2.5D integration packaging approach using double-self-assembly method with complex topography, and micropin-fin heat sink interposer for pressure sensing systemHu, Yu-Chen / Lin, Chun-Pin / Chang, Hsiao-Chun / Yang, Yu-Tao / Chen, Chi-Shi / Chen, Kuan-Neng et al. | 2016
- 9.3.1
-
Interconnect scaling: Challenges and opportunitiesBrain, Ruth et al. | 2016
- 9.4.1
-
CMOS compatible MIM decoupling capacitor with reliable sub-nm EOT high-k stacks for the 7 nm node and beyondAndo, T. / Cartier, E. / Jamison, P. / Pyzyna, A. / Kim, S. / Bruley, J. / Chung, K. / Shobha, H. / Estrada-Raygoza, I. / Tang, H. et al. | 2016
- 9.5.1
-
BEOL compatible graphene/Cu with improved electromigration lifetime for future interconnectsLi, Ling / Zhu, Zhongwei / Wang, Tao / Currivan-Incorvia, Jean A. / Yoon, Alex / Wong, H.-S. Philip et al. | 2016
- 9.6.1
-
Vertical channel devices enabled by through silicon via (TSV) technologiesKothandaraman, C. / Rosenblatt, S. / Safran, J. / Oldiges, P. / Kulkarni-Kerber, P. / Xumalo, J. / Landers, W. / Liu, J. / Oakley, J. A. / Butt, S. et al. | 2016
- 10.1.1
-
1.7 kV/1.0 mΩcm2 normally-off vertical GaN transistor on GaN substrate with regrown p-GaN/AlGaN/GaN semipolar gate structureShibata, Daisuke / Kajitani, Ryo / Ogawa, Masahiro / Tanaka, Kenichiro / Tamura, Satoshi / Hatsuda, Tsuguyasu / Ishida, Masahiro / Ueda, Tetsuzo et al. | 2016
- 10.2.1
-
Novel GaN trench MIS barrier Schottky rectifiers with implanted field ringsZhang, Y. / Sun, M. / Liu, Z. / Piedra, D. / Pan, M. / Gao, X. / Lin, Y. / Zubair, A. / Yu, L. / Palacios, T. et al. | 2016
- 10.3.1
-
High-speed switching and current-collapse-free operation by GaN gate injection transistors with thick GaN buffer on bulk GaN substratesHanda, Hiroyuki / Ujita, Shinji / Shibata, Daisuke / Kajitani, Ryo / Shiozaki, Nanako / Ogawa, Masahiro / Umeda, Hidekazu / Tanaka, Kenichiro / Tamura, Satoshi / Hatsuda, Tsuguyasu et al. | 2016
- 10.4.1
-
Integration of LPCVD-SiNx gate dielectric with recessed-gate E-mode GaN MIS-FETs: Toward high performance, high stability and long TDDB lifetimeHua, Mengyuan / Zhang, Zhaofu / Wei, Jin / Lei, Jiacheng / Tang, Gaofei / Fu, Kai / Cai, Yong / Zhang, Baoshun / Chen, Kevin J. et al. | 2016
- 10.5.1
-
Superior performance of SiC power devices and its limitation by self-heatingTerashima, T. et al. | 2016
- 10.6.1
-
Experimental verification of a 3D scaling principle for low Vce(sat) IGBTKakushima, K. / Hoshii, T. / Tsutsui, K. / Nakajima, A. / Nishizawa, S. / Wakabayashi, H. / Muneta, I. / Sato, K. / Matsudai, T. / Saito, W. et al. | 2016
- 10.7.1
-
Experimental demonstration of −730V vertical SiC p-MOSFET with high short circuit withstand capability for complementary inverter applicationsAn, Junjie / Namai, Masaki / Tanabe, Mikiko / Okamoto, Dai / Yano, Hiroshi / Iwamuro, Noriyuki et al. | 2016
- 10.8.1
-
On the subthreshold drain current sweep hysteresis of 4H-SiC nMOSFETsRescher, Gerald / Pobegen, Gregor / Aichinger, Thomas / Grasser, Tibor et al. | 2016
- 11.1.1
-
First demonstration of FinFET split-gate MONOS for high-speed and highly-reliable embedded flash in 16/14nm-node and beyondTsuda, S. / Kawashima, Y. / Sonoda, K. / Yoshitomi, A. / Mihara, T. / Narumi, S. / Inoue, M. / Muranaka, S. / Maruyama, T. / Yamashita, T. et al. | 2016
- 11.2.1
-
A new ruler on the storage market: 3D-NAND flash for high-density memory and its technology evolutions and challenges on the futureLee, Jaeduk / Jang, Jaehoon / Lim, Junhee / Shin, Yu Gyun / Lee, Kyupil / Jung, Eunseung et al. | 2016
- 11.3.1
-
Polycrystalline-silicon channel trap induced transient read instability in a 3D NAND flash cell stringTsai, Wen-Jer / Lin, W. L. / Cheng, C. C. / Ku, S. H. / Chou, Y. L. / Liu, Lenvis / Hwang, S. W. / Lu, T. C. / Chen, K. C. / Wang, Tahui et al. | 2016
- 11.4.1
-
Automotive requirements to non-volatile memories — A holistic approach to qualificationKottler, V. et al. | 2016
- 11.5.1
-
A 28nm HKMG super low power embedded NVM technology based on ferroelectric FETsTrentzsch, M. / Flachowsky, S. / Richter, R. / Paul, J. / Reimer, B. / Utess, D. / Jansen, S. / Mulaosmanovic, H. / Muller, S. / Slesazeck, S. et al. | 2016
- 11.6.1
-
How to make DRAM non-volatile? Anti-ferroelectrics: A new paradigm for universal memoriesPesic, Milan / Knebel, Steve / Hoffmann, Michael / Richter, Claudia / Mikolajick, Thomas / Schroeder, Uwe et al. | 2016
- 11.7.1
-
Fully BEOL compatible TaOx-based selector with high uniformity and robust performanceLuo, Qing / Xu, Xiaoxin / Lv, Hangbing / Gong, Tiancheng / Long, Shibing / Liu, Qi / Sun, Haitao / Li, Ling / Lu, Nianduan / Liu, Ming et al. | 2016
- 12.1.1
-
Physical thickness 1.x nm ferroelectric HfZrOx negative capacitance FETsLee, M. H. / Fan, S.-T. / Tang, C.-H. / Chen, P.-G. / Chou, Y.-C. / Chen, H.-H. / Kuo, J.-Y. / Xie, M.-J. / Liu, S.-N. / Liao, M.-H. et al. | 2016
- 12.2.1
-
Ferroelectric HfZrOx Ge and GeSn PMOSFETs with Sub-60 mV/decade subthreshold swing, negligible hysteresis, and improved IdsZhou, Jiuren / Han, Genquan / Li, Qinglong / Peng, Yue / Lu, Xiaoli / Zhang, Chunfu / Zhang, Jincheng / Sun, Qing-Qing / Zhang, David Wei / Hao, Yue et al. | 2016
- 12.3.1
-
Experimental study on polarization-limited operation speed of negative capacitance FET with ferroelectric HfO2Kobayashi, Masaharu / Ueyama, Nozomu / Jang, Kyungmin / Hiramoto, Toshiro et al. | 2016
- 12.4.1
-
Fully coupled 3-D device simulation of negative capacitance FinFETs for sub 10 nm integrationOta, Hiroyuki / Ikegami, Tsutomu / Hattori, Junichi / Fukuda, Koichi / Migita, Shinji / Toriumi, Akira et al. | 2016
- 12.5.1
-
Impact of La2O3/InGaAs MOS interface on InGaAs MOSFET performance and its application to InGaAs negative capacitance FETChang, C.-Y. / Endo, K. / Kato, K. / Yokoyama, C. / Takenaka, M. / Takagi, S. et al. | 2016
- 12.6.1
-
Experimental study on hole and electron effective masses in inversion layers of Ge (100), (110) and (111) p- and n-MOSFETsZhang, R. / Li, J. / Zheng, Z. / Yu, X. / Dong, W. / Zhao, Y. et al. | 2016
- 13.1.1
-
Quantum computing within the framework of advanced semiconductor manufacturingClarke, J. S. / Thomas, N. / Roberts, J. / Pilliarisetty, R. / Yoscovits, Z. / Caudillo, R. / George, H. / Singh, K. J. / Michalak, D. / Amin, P. et al. | 2016
- 13.2.1
-
Spin-based quantum computing in silicon CMOS-compatible platformsDzurak, A. S. et al. | 2016
- 13.3.1
-
Coupled quantum dots on SOI as highly integrated Si qubitsOda, S. / Yamahata, G. / Horibe, K. / Kodera, T. et al. | 2016
- 13.4.1
-
SOI technology for quantum information processingDe Franceschi, S. / Hutin, L. / Maurand, R. / Bourdet, L. / Bohuslavskyi, H. / Corna, A. / Kotekar-Patil, D. / Barraud, S. / Jehl, X. / Niquet, Y.-M. et al. | 2016
- 13.5.1
-
Cryo-CMOS for quantum computingCharbon, E. / Sebastiano, F. / Vladimirescu, A. / Homulle, H. / Visser, S. / Song, L. / Incandela, R M. et al. | 2016
- 13.6.1
-
Diamond — A quantum engineer's best friendLoncar, Marko et al. | 2016
- 13.7.1
-
Large-scale quantum technology based on luminescent centers in crystalsTrupke, M. / Salter, C. / Reisenbauer, S. / Vasconcelos, R. / Wachter, G. / Buczak, K. / Angerer, A. / Schmiedmayer, J. / Aumayr, F. / Schmid, U. et al. | 2016
- 14.1.1
-
Two-dimensional transistors based on MoS2 lateral heterostructuresMarian, D. / Dib, E. / Cusati, T. / Fortunelli, A. / Iannaccone, G. / Fiori, G. et al. | 2016
- 14.2.1
-
Physics of electronic transport in two-dimensional materials for future FETsFischetti, M. V. / Vandehberghe, W. G. et al. | 2016
- 14.3.1
-
A numerical study of Si-TMD contact with n/p type operation and interface barrier reduction for sub-5 nm monolayer MoS2 FETTang, Ying-Tsan / Li, Kai-Shin / Li, Lain-Jong / Li, Ming-Yang / Lin, Chang-Hsien / Chen, Yi-Ju / Chen, Chun-Chi / Su, Chuan-Jung / Wu, Bo-Wei / Wu, Cheng-San et al. | 2016
- 14.4.1
-
A modified Schottky model for graphene-semiconductor (3D/2D) contact: A combined theoretical and experimental studyLiang, Shi-Jun / Hu, Wei / Di Bartolomeo, A. / Adam, Shaffique / Ang, Lay Kee et al. | 2016
- 14.5.1
-
Performance predictions of single-layer In-V double-gate n- and p-type field-effect transistorsCarrillo-Nunez, Hamilton / Stieger, Christian / Luisier, Mathieu / Schenk, Andreas et al. | 2016
- 14.6.1
-
Current status and challenges of the modeling of organic photodiodes and solar cellsClerc, R. / Bouthinon, B. / Mohankumar, M. / Rannou, P. / Vaillant, J. / Maindron, T. / Racine, B. / Chen, Y-F / Hirsch, L. / Verilhac, J. M. et al. | 2016
- 14.7.1
-
Prospects of ultra-thin nanowire gated 2D-FETs for next-generation CMOS technologyCao, Wei / Liu, Wei / Banerjee, Kaustav et al. | 2016
- 15.1.1
-
Reliability characterization of 10nm FinFET technology with multi-VT gate stack for low power and high performanceJin, Minjung / Liu, Changze / Kim, Jinju / Kim, Jungin / Shim, Hyewon / Kim, Kangjung / Kim, Gunrae / Lee, Soonyoung / Uemura, Taiki / Chang, Man et al. | 2016
- 15.2.1
-
Consideration of BTI variability and product level reliability to expedite advanced FinFET process developmentLee, Y.-H. / Lee, J H. / Wang, Y.F. / Hsieh, R. / Tsai, Y.S. / Huang, K. et al. | 2016
- 15.3.1
-
Statistical model of the NBTI-induced threshold voltage, subthreshold swing, and transconductance degradations in advanced p-FinFETsFranco, J. / Kaczer, B. / Mukhopadhyay, S. / Duhan, P. / Weckx, P. / Roussel, Ph. J. / Chiarella, T. / Ragnarsson, L.-A / Trojman, L. / Horiguchi, N. et al. | 2016
- 15.4.1
-
Hot carrier effect in ultra-scaled replacement metal gate Sii-xGex channel p-FinFETsWang, Miaomiao / Miao, Xin / Stathis, James H / Southwick, Richard / Linder, Barry P. / Liu, Derrick / Bao, Ruqiang / Watanabe, Koji et al. | 2016
- 15.5.1
-
New understanding of dielectric breakdown in advanced FinFET devices — physical, electrical, statistical and multiphysics studyMei, S. / Raghavan, N. / Bosman, M. / Linten, D. / Groeseneken, G. / Horiguchi, N. / Pey, K. L. et al. | 2016
- 15.6.1
-
Self-heating in FinFET and GAA-NW using Si, Ge and III/V channelsBury, E. / Kaczer, B. / Linten, D. / Witters, L. / Mertens, H. / Waldron, N. / Zhou, X. / Collaert, N. / Horiguchi, N. / Spessot, A. et al. | 2016
- 15.7.1
-
Substrate and layout engineering to suppress self-heating in floating body transistorsShin, S. H. / Kim, S. -H. / Kim, S. / Wu, H. / Ye, P. D. / Alam, M. A. et al. | 2016
- 15.8.1
-
Local thermometry of self-heated nanoscale devicesMenges, F. / Motzfeld, F. / Schmid, H. / Mensch, P. / Dittberner, M. / Karg, S. / Riel, H. / Gotsmann, B. et al. | 2016
- 16.1.1
-
Hyperdimensional computing with 3D VRRAM in-memory kernels: Device-architecture co-design for energy-efficient, error-resilient language recognitionLi, Haitong / Wu, Tony F. / Rahimi, Abbas / Li, Kai-Shin / Rusch, Miles / Lin, Chang-Hsien / Hsu, Juo-Luen / Sabry, Mohamed M. / Eryilmaz, S. Burc / Sohn, Joon et al. | 2016
- 16.2.1
-
Binary neural network with 16 Mb RRAM macro chip for classification and online trainingYu, Shimeng / Li, Zhiwei / Chen, Pai-Yu / Wu, Huaqiang / Gao, Bin / Wang, Deli / Wu, Wei / Qian, He et al. | 2016
- 16.3.1
-
A ReRAM-based single-NVM nonvolatile flip-flop with reduced stress-time and write-power against wide distribution in write-time by using self-write-termination scheme for nonvolatile processors in IoT eraLo, Chieh-Pu / Chen, Wei-Hao / Wang, Zhibo / Lee, Albert / Hsu, Kuo-Hsiang / Su, Fang / King, Ya-Chin / Lin, Chrong Jung / Liu, Yongpan / Yang, Huazhong et al. | 2016
- 16.4.1
-
50×20 crossbar switch block (CSB) with two-varistors (a-Si/SiN/a-Si) selected complementary atom switch for a highly-dense reconfigurable logicBanno, N. / Tilda, M. / Okamoto, K. / Iguchi, N. / Sakamoto, T. / Hada, H. / Ochi, H. / Onodera, H. / Hashimoto, M. / Sugibayashi, T. et al. | 2016
- 16.5.1
-
Zero static-power 4T SRAM with self-inhibit resistive switching load by pure CMOS logic processLiao, Chu-Feng / Hsu, Meng-Yin / Chih, Yue-Der / Chang, Jonathan / King, Ya-Chin / Lin, Chrong Jung et al. | 2016
- 16.6.1
-
Experimental demonstration of short and long term synaptic plasticity using OxRAM multi k-bit arrays for reliable detection in highly noisy input dataWerner, T. / Vianello, E. / Bichler, O. / Grossi, A. / Nowak, E. / Nodin, J.-F. / Yvert, B. / DeSalvo, B. / Perniola, L. et al. | 2016
- 16.7.1
-
Device nonideality effects on image reconstruction using memristor arraysMa, Wen / Cai, Fuxi / Du, Chao / Jeong, Yeonjoo / Zidan, Mohammed / Lu, Wei D. et al. | 2016
- 16.8.1
-
Demonstration of hybrid CMOS/RRAM neural networks with spike time/rate-dependent plasticityMilo, V. / Pedretti, G. / Carboni, R. / Calderoni, A. / Ramaswamy, N. / Ambrogio, S. / Ielmini, D. et al. | 2016
- 17.1.1
-
Air spacer for 10nm FinFET CMOS and beyondCheng, K. / Park, C. / Yeung, C. / Nguyen, S. / Zhang, J. / Miao, X. / Wang, M. / Mehta, S. / Li, J. / Surisetty, C. et al. | 2016
- 17.2.1
-
FinFET performance with Si:P and Ge:Group-III-Metal metastable contact trench alloysGluschenkov, O. / Liu, Z. / Niimi, H. / Mochizuki, S. / Fronheiser, J. / Miao, X. / Li, J. / Demarest, J. / Zhang, C. / Niu, C. et al. | 2016
- 17.3.1
-
FOI FinFET with ultra-low parasitic resistance enabled by fully metallic source and drain formation on isolated bulk-finZhang, Qingzhu / Yin, Huaxiang / Luo, Jun / Yang, Hong / Meng, Lingkuan / Li, Yudong / Wu, Zhenhua / Zhang, Yanbo / Zhang, Yongkui / Qin, Changliang et al. | 2016
- 17.4.1
-
Technology viable DC performance elements for Si/SiGe channel CMOS FinFTTTsutsui, Gen / Bao, Ruqiang / Lim, Kwan-yong / Robison, Robert R. / Vega, Reinaldo A. / Yang, Jie / Liu, Zuoguang / Wang, Miaomiao / Gluschenkov, Oleg / Yeung, Chun Wing et al. | 2016
- 17.5.1
-
Improvement of the CMOS characteristics of bulk Si FinFETs by high temperature ion implantationKikuchi, Y. / Hopf, T. / Mannaert, G. / Tao, Z. / Waite, A. / Cournoyer, J. / Borniquel, J. / Schreutelkamp, R. / Ritzenthaler, R. / Kim, M. S. et al. | 2016
- 17.6.1
-
Vertically stacked-NanoWires MOSFETs in a replacement metal gate process with inner spacer and SiGe source/drainBarraud, S. / Lapras, V. / Samson, M.P. / Gaben, L. / Grenouillet, L. / Maffini-Alvaro, V. / Morand, Y. / Daranlot, J. / Rambal, N. / Previtalli, B. et al. | 2016
- 17.7.1
-
A novel dual isolation scheme for stress and back-bias maximum efficiency in FDSOI TechnologyBerthelon, R. / Andrieu, F. / Perreau, P. / Cooper, D. / Roze, F. / Gourhant, O. / Rivallin, P. / Bernier, N. / Cros, A. / Ndiaye, C. et al. | 2016
- 18.1.1
-
Highly improved response and recovery characteristics of Si FET-type gas sensor using pre-biasShin, Jongmin / Hong, Yoonki / Wu, Meile / Jang, Younjin / Kim, Jun Shik / Park, Byung-Gook / Hwang, Cheol Seong / Lee, Jong-Ho et al. | 2016
- 18.2.1
-
Graphene-gate transistors for gas sensing and threshold controlHarada, N. / Hayashi, K. / Kataoka, M. / Yamaguchi, J. / Ohtomo, M. / Ohfuchi, M. / Soga, I. / Kondo, D. / Iwai, T. / Sato, S. et al. | 2016
- 18.3.1
-
Tunable and wearable high performance strain sensors based on laser patterned graphene flakesTao, Lu-Qi / Wang, Dan-Yang / Tian, He / Ju, Zhen-Yi / Liu, Ying / Chen, Yuan-Quan / Xie, Qian-Yi / Zhao, Hai-Ming / Yang, Yi / Ren, Tian-Ling et al. | 2016
- 18.4.1
-
Thinnest transparent epidermal sensor system based on grapheneAmeri, S. K. / Ho, R. / Jang, H. / Wang, Y. / Schnyer, D. M. / Akinwande, D. / Lu, N. et al. | 2016
- 18.5.1
-
Heterogeneously-integrated microdevicesTanaka, Shuji et al. | 2016
- 18.6.1
-
A CMOS-compatible large-scale monolithic integration of heterogeneous multi-sensors on flexible silicon for IoT applicationsNassar, Joanna M. / Sevilla, Galo A. Torres / Velling, Seneca J. / Cordero, Marlon D. / Hussain, Muhammad Mustafa et al. | 2016
- 18.7.1
-
Sensors and haptics technologies for user interface design in wearablesBaskaran, R. / Dogiamis, G. C. et al. | 2016
- 19.1.1
-
Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mV/decade and Ion = 10 μA/μm for Ioff = 1 nA/μm at Vds = 0.3 VMemisevic, E. / Svensson, J. / Hellenbrand, M. / Lind, E. / Wernersson, L.-E. et al. | 2016
- 19.2.1
-
Two-dimensional heterojunction interlayer tunnel FET (Thin-TFET): From theory to applicationsLi, Mingda Oscar / Yan, Rusen / Jena, Debdeep / Xing, Huili Grace et al. | 2016
- 19.3.1
-
Hybrid phase-change — Tunnel FET (PC-TFET) switch with subthreshold swing < 10mV/decade and sub-0.1 body factor: Digital and analog benchmarkingCasu, E.A. / Vitale, W.A. / Oliva, N. / Rosca, T. / Biswas, A. / Alper, C. / Krammer, A. / Luong, G.V. / Zhao, Q.T. / Mantl, S. et al. | 2016
- 19.4.1
-
Demonstrating performance improvement of complementary TFET circuits by Ion enhancement based on isoelectronic trap technologyMori, T. / Asai, H. / Hattori, J. / Fukuda, K. / Otsuka, S. / Morita, Y. / O'uchi, S. / Fuketa, H. / Migita, S. / Mizubayashi, W. et al. | 2016
- 19.5.1
-
Tunneling MOSFET technologies using III-V/Ge materialsTakagi, S. / Ahn, D. H. / Noguchi, M. / Gotow, T. / Nishi, K. / Kim, M. / Takenaka, M. et al. | 2016
- 19.6.1
-
Performance benchmarking of p-type In0.65Ga0.35As/GaAs0.4Sb0.6 and Ge/Ge0.93Sn0.07 hetero-junction tunnel FETsPandey, R. / Schulte-Braucks, C. / Sajjad, R. N. / Barth, M. / Ghosh, R. K. / Grisafe, B. / Sharma, P. / von den Driesch, N. / Vohra, A. / Rayner, B. et al. | 2016
- 19.7.1
-
Vertically stacked gate-all-around Si nanowire CMOS transistors with dual work function metal gatesMertens, H. / Ritzenthaler, R. / Chasin, A. / Schram, T. / Kunnen, E. / Hikavyy, A. / Ragnarsson, L.-A. / Dekkers, H. / Hopf, T. / Wostyn, K. et al. | 2016
- 20.1.1
-
Wide bandgap (WBG) power devices and their impacts on power delivery systemsHuang, Alex Q. et al. | 2016
- 20.2.1
-
Si, SiC and GaN power devices: An unbiased view on key performance indicatorsDeboy, G. / Treu, M. / Haeberlen, O. / Neumayr, D. et al. | 2016
- 20.3.1
-
System level impact of GaN power devices in server architecturesLidow, A. / Reusch, D. / Glaser, J. et al. | 2016
- 20.4.1
-
GaN-based semiconductor devices for future power switching systemsIshida, Hidetoshi / Kajitani, Ryo / Kinoshita, Yusuke / Umeda, Hidekazu / Ujita, Shinji / Ogawa, Masahiro / Tanaka, Kenichiro / Morita, Tatsuo / Tamura, Satoshi / Ishida, Masahiro et al. | 2016
- 20.5.1
-
Application reliability validation of GaN power devicesBahl, Sandeep R. / Joh, Jungwoo / Fu, Lixing / Sasikumar, Anup / Chatterjee, Tathagata / Pendharkar, Sameer et al. | 2016
- 20.6.1
-
Horizon beyond ideal power devicesOhiCihi, Hiromichi et al. | 2016
- 21.1.1
-
Reliability study of a 128Mb phase change memory chip implemented with doped Ga-Sb-Ge with extraordinary thermal stabilityChien, W. C. / Cheng, H. Y. / BrightSky, M. / Ray, A. / Yeh, C. W. / Kim, W. / Bruce, R. / Zhu, Y. / Ho, H. Y. / Lung, H. L. et al. | 2016
- 21.2.1
-
Impact of the filament morphology on the retention characteristics of Cu/Al2O3-based CBRAM devicesOta, K. / Belmonte, A. / Chen, Z. / Redolfi, A. / Goux, L. / Kar, G. S. et al. | 2016
- 21.3.1
-
Microsecond transient thermal behavior of HfOx-based resistive random access memory using a micro thermal stage (MTS)Jiang, Zizhen / Wang, Ziwen / Zheng, Xin / Fong, Scott / Qin, Shengjun / Chen, Hong-Yu / Ahn, Chiyui / Cao, Ji / Nishi, Yoshio / Wong, H.-S. Philip et al. | 2016
- 21.4.1
-
Identify the critical regions and switching/failure mechanisms in non-filamentary RRAM (a-VMCO) by RTN and CVS techniques for memory window improvementMa, J. / Chai, Z. / Zhang, W. / Govoreanu, B. / Zhang, J. F. / Ji, Z. / Benbakhti, B. / Groeseneken, G. / Jurczak, M. et al. | 2016
- 21.5.1
-
Technology for reliable spin-torque MRAM productsSlaughter, J. M. / Nagel, K. / Whig, R. / Deshpande, S. / Aggarwal, S. / DeHerrera, M. / Janesky, J. / Lin, M. / Chia, H.-J. / Hossain, M. et al. | 2016
- 21.6.1
-
Understanding cycling endurance in perpendicular spin-transfer torque (p-STT) magnetic memoryCarboni, R. / Ambrogio, S. / Chen, W. / Siddik, M. / Harms, J. / Lyle, A. / Kula, W. / Sandhu, G. / Ielmini, D. et al. | 2016
- 21.7.1
-
Graphenic carbon-silicon contacts for reliability improvement of metal-silicon junctionsStelzer, Max / Kreupl, Franz et al. | 2016
- 22.1.1
-
High-mobility TFT and enhanced luminescence utilizing nucleation-controlled GeSn growth on transparent substrate for monolithic optoelectronic integrationOka, H. / Koyama, M. / Tomita, T. / Amamoto, T. / Tominaga, K. / Tanaka, S. / Hosoi, T. / Shimura, T. / Watanabe, H. et al. | 2016
- 22.2.1
-
First demonstration of a back-side integrated heterogeneous hybrid III-V/Si DBR lasers for Si-photonics applicationsDurel, J. / Ben Bakir, B. / Jany, C. / Cremer, S. / Szelag, B. / Bria, T. / Larrey, V. / Sanchez, L. / Brianceau, P. / Dallery, J.-A et al. | 2016
- 22.3.1
-
GeSn lasers for CMOS integrationBuca, D. / von den Driesch, N. / Stange, D. / Wirths, S. / Geiger, R. / Braucks, C. Schulte / Mantl, S. / Hartmann, J. M. / Ikonic, Z. / Witzens, J. et al. | 2016
- 22.4.1
-
High gain semiconductor optical amplifier — Laser diode at visible wavelengthShen, Chao / Lee, Changmin / Ng, Tien Khee / Nakamura, Shuji / Speck, James S. / DenBaars, Steven P. / Alyamani, Ahmed Y. / El-Desouki, Munir M. / Ooi, Boon S. et al. | 2016
- 22.5.1
-
The Zener-Emitter: A novel superluminescent Ge optical waveguide-amplifier with 4.7 dB gain at 92 mA based on free-carrier modulation by direct Zener tunneling monolithically integrated on SiKoerner, R. / Schwaiz, D. / Fischer, I. A. / Augel, L. / Bechler, S. / Haenel, L. / Kern, M. / Oehme, M. / Rolseth, E. / Schwartz, B. et al. | 2016
- 22.6.1
-
On-chip optical sense strategy illustrated in the case of flash memory systemSong, Junfeng / Luo, Xianshu / Tang, Yanzhe / Li, Chao / Jia, Lianxi / Tu, Xiaoguang / Fang, Qing / Huang, Ying / Zhou, Haifeng / Lim, Andy En-Jin et al. | 2016
- 25.1.1
-
Heterostructure at CMOS source/drain: Contributor or alleviator to the high access resistance problem?Yu, Hao / Schaekers, M. / Rosseel, E. / Everaert, J.-L. / Eyben, P. / Chiarella, T. / Merckling, C. / Agarwal, T. K. / Pourtois, G. / Hikavyy, A. et al. | 2016
- 25.2.1
-
General relationship for cation and anion doping effects on ferroelectric HfO2 formationXu, L. / Shibayama, S. / Izukashi, K. / Nishimura, T. / Yajima, T. / Migita, S. / Toriumi, A. et al. | 2016
- 25.3.1
-
Monolithic integration of AgTe/TiO2 based threshold switching device with TiN liner for steep slope field-effect transistorsSong, Jeonghwan / Park, Jaehyuk / Moon, Kibong / Woo, Jiyong / Lim, Seokjae / Yoo, Jongmyung / Lee, Dongwook / Hwang, Hyunsang et al. | 2016
- 25.4.1
-
Cost-effective fabrication of In0.53Ga0.47As-on-insulator on Si for monolithic 3D via novel epitaxial lift-off (ELO) and donor wafer re-useKim, Seong Kwang / Shim, Jaephil / Geum, Dae-Myeong / Kim, Chang Zoo / Kim, Han-Sung / Kim, Yeon-Su / Kang, Hang-Kyu / Song, Jin Dong / Choi, Sung-Jin / Kim, Dae Hwan et al. | 2016
- 25.5.1
-
Extremely high modulation efficiency IU-V/Si hybrid MOS optical modulator fabricated by direct wafer bondingHan, J.-H. / Takenaka, M. / Takagi, S. et al. | 2016
- 25.6.1
-
Additive manufacturing for electronics “Beyond Moore”Veres, J. / Bringans, R. D. / Chow, E. M. / Lu, J. P. / Mei, P. / Ready, S. E. / Schwartz, D. E. / Street, R. A. et al. | 2016
- 26.1.1
-
Solid state pH and chloride sensor with microfluidic reference electrodeZevenbergen, M. A. G. / Altena, G. / Dam, V. A. T. / Goedbloed, M. / Bembnowicz, P. / McGuinness, P. / Berney, H. / Berduque, A. / O'Dwyer, T. / van Schaijk, R. et al. | 2016
- 26.2.1
-
Fast spintronic thermal sensor for IC power driver cooling downJiang, Yanfeng / Zhang, Yisong / Klemm, Angeline / Wang, Jian-Ping et al. | 2016
- 26.3.1
-
Encapsulated inertial systemsAhn, C. H. / Christensen, D. L. / Heinz, D. B. / Hong, V. A. / Ng, E. J. / Rodriguez, J. / Yang, Y. / O'Brien, G. / Kenny, T. W. et al. | 2016
- 26.4.1
-
Triboelectric energy harvester with an ultra-thin tribo-dielectric layer by initiated CVD and investigation of underlying physics in the triboelectricityKim, Daewon / Kim, Weon-Guk / Jin, Ik Kyeong / Park, Hongkeun / Kwak, Moo Jin / Im, Sung Gap / Choi, Yang-Kyu et al. | 2016
- 26.5.1
-
GaN-on-Si μLED optoelectrodes for high-spatiotemporal-accuracy optogenetics in freely behaving animalsKim, K. / English, D. / McKenzie, S. / Wu, F. / Stark, E. / Seymour, J. / Ku, P.-C. / Wise, K. / Buzsaki, G. / Yoon, E. et al. | 2016
- 26.6.1
-
Observation of acoustoelectric effect in micromachined lamb wave delay lines with AlGaN/GaN heterostructureZhu, H. / Ansari, A. / Luo, Wei / Rais-Zadeh, M. et al. | 2016
- 26.7.1
-
A 1 MHz 4 ppm CMOS-MEMS oscillator with built-in self-test and sub-mW ovenization powerLiu, Chun-You / Li, Ming-Huang / Ranjith, H. G. / Li, Sheng-Shian et al. | 2016
- 26.8.1
-
Sub-50 mV NEM relay operation enabled by self-assembled molecular coatingOsoba, Benjamin / Saha, Bivas / Dougherty, Liam / Edgington, Jane / Qian, Chuang / Niroui, Farnaz / Lang, Jeffrey H. / Bulovic, Vladimir / Wu, Junqiao / Liu, Tsu-Jae King et al. | 2016
- 27.1.1
-
4Gbit density STT-MRAM using perpendicular MTJ realized with compact cell structureChung, S.-W. / Kishi, T. / Park, J. W. / Yoshikawa, M. / Park, K. S. / Nagase, T. / Sunouchi, K. / Kanaya, H. / Kim, G. C. / Noma, K. et al. | 2016
- 27.2.1
-
Highly functional and reliable 8Mb STT-MRAM embedded in 28nm logicSong, Y. J. / Lee, J. H. / Shin, H. C. / Lee, K. H. / Suh, K. / Kang, J. R. / Pyo, S. S. / Jung, H. T. / Hwang, S. H. / Koh, G. H. et al. | 2016
- 27.3.1
-
Data retention extraction methodology for perpendicular STT-MRAMTillie, L. / Nowak, E. / Sousa, R. C. / Cyrille, M.-C. / Delaet, B. / Magis, T. / Persico, A. / Langer, J. / Ocker, B. / Prejbeanu, I-L et al. | 2016
- 27.4.1
-
Systematic validation of 2x nm diameter perpendicular MTJ arrays and MgO barrier for sub-10 nm embedded STT-MRAM with practically unlimited enduranceKan, J. J. / Park, C. / Ching, C. / Ahn, J. / Xue, L. / Wang, R. / Kontos, A. / Liang, S. / Bangar, M. / Chen, H. et al. | 2016
- 27.5.1
-
Novel voltage controlled MRAM (VCM) with fast read/write circuits for ultra large last level cacheNoguchi, Hiroki / Ikegami, Kazutaka / Abe, Keiko / Fujita, Shinobu / Shiota, Yoichi / Nozaki, Takayuki / Yuasa, Shinji / Suzuki, Yoshishige et al. | 2016
- 27.6.1
-
Voltage-control spintronics memory (VoCSM) having potentials of ultra-low energy-consumption and high-densityYoda, H. / Shimomura, N. / Ohsawa, Y. / Shirotori, S. / Kato, Y. / Inokuchi, T. / Kamiguchi, Y. / Altansargai, B. / Saito, Y. / Koi, K. et al. | 2016
- 28.1.1
-
A novel tensile Si (n) and compressive SiGe (p) dual-channel CMOS FinFET co-integration scheme for 5nm logic applications and beyondBae, Dong-il / Bae, Geumjong / Bhuwalka, Krishna K / Lee, Seung-Hun / Song, Myung-Geun / Jeon, Taek-soo / Kim, Cheol / Kim, Wookje / Park, Jaeyoung / Kim, Sunjung et al. | 2016
- 28.2.1
-
Extreme scaling enabled by 5 tracks cells: Holistic design-device co-optimization for FinFETs and lateral nanowiresBardon, M. Garcia / Sherazi, Y. / Schuddinck, P. / Jang, D. / Yakimets, D. / Debacker, P. / Baert, R. / Mertens, H. / Badaroglu, M. / Mocuta, A. et al. | 2016
- 28.3.1
-
32-bit Processor core at 5-nm technology: Analysis of transistor and interconnect impact on VLSI system performanceLee, Chi-Shuen / Cline, Brian / Sinha, Saurabh / Yeric, Greg / Wong, H.-S. Philip et al. | 2016
- 28.4.1
-
New insights into the near-threshold design in nanoscale FinFET technology for sub-0.2V applicationsJiang, Xiaobo / Guo, Shaofeng / Wang, Runsheng / Wang, Yuan / Wang, Xingsheng / Cheng, Binjie / Asenov, Asen / Huang, Ru et al. | 2016
- 28.5.1
-
Novel MOS varactor device optimization and modeling for high-speed transceiver design in FinFET technologyJing, Jing / Wu, Susan / Wu, Xin / Upadhyaya, Parag / Bekele, Ade et al. | 2016
- 28.6.1
-
Embedded flash technology for automotive applicationsYamauchi, Tadaaki / Yamaguchi, Yasuo / Kono, Takashi / Hidaka, Hideto et al. | 2016
- 29.1.1
-
InP HEMT integrated circuits operating above 1,000 GHzDeal, W. R. / Leong, K. / Yoshida, W. / Zamora, A. / Mei, X. B. et al. | 2016
- 29.2.1
-
A 130 nm InP HBT integrated circuit technology for THz electronicsUrteaga, M. / Hacker, J. / Griffith, Z. / Young, A. / Pierson, R. / Rowell, P. / Seo, M. / Rodwell, M. J. W. et al. | 2016
- 29.3.1
-
Resonant-tunneling-diode terahertz oscillators and applicationsAsada, Masahiro / Suzuki, Safumi et al. | 2016
- 29.4.1
-
Physics of ultrahigh speed electronic devicesShur, Michael et al. | 2016
- 29.5.1
-
InP/GaAsSb DHBTs for THz applications and improved extraction of their cutoff frequenciesBolognesi, C. R. / Fluckiger, R. / Alexandrova, M. / Quan, W. / Lovblom, R. / Ostinelli, O. et al. | 2016
- 29.6.1
-
On-chip terahertz electronics: From device-electromagnetic integration to energy-efficient, large-scale microsystemsHan, R. / Holloway, J. W. / Jiang, C. / Mostajeran, A. / Afshari, E. / Cathelin, A. / Zhang, Y. / Boglione, L. / Hancock, T. M. / Wang, C. et al. | 2016
- 29.7.1
-
Active terahertz metasurface devicesChen, H.-T. et al. | 2016
- 29.8.1
-
Devices and circuits in CMOS for THz applicationsAhmad, Z. / Choi, W. / Sharma, N. / Zhang, J. / Zhong, Q. / Kim, D.-Y. / Chen, Z. / Zhang, Y. / Han, R. / Shim, D. et al. | 2016
- 30.1.1
-
Scaling perspective for III-V broken gap nanowire TFETs: An atomistic study using a fast tight-binding mode-space NEGF modelAfzalian, A. / Passlack, M. / Yeo, Y-.C. et al. | 2016
- 30.2.1
-
A tunnel FET design for high-current, 120 mV operationLong, P. / Huang, J. Z. / Povolotskyi, M. / Verreck, D. / Charles, J. / Kubis, T. / Klimeck, G. / Rodwell, M. J.W. / Calhoun, B. H. et al. | 2016
- 30.3.1
-
Effect of band-tails on the subthreshold performance of 2D tunnel-FETsZhang, Haojun / Cao, Wei / Kang, Jiahao / Banerjee, Kaustav et al. | 2016
- 30.4.1
-
Multi-barrier inter-layer tunnel field-effect transistorPrasad, N. / Mou, Xuehao / Register, L. F. / Banerjee, S. K. et al. | 2016
- 30.5.1
-
Compact models of negative-capacitance FinFETs: Lumped and distributed charge modelsDuarte, Juan P. / Khandelwal, Sourabh / Khan, Asif I. / Sachid, Angada / Lin, Yen-Kai / Chang, Huan-Lin / Salahuddin, Sayeef / Hu, Chenming et al. | 2016
- 30.6.1
-
Performance projection of III-V ultra-thin-body, FinFET, and nanowire MOSFETs for two next-generation technology nodesRau, M. / Caruso, E. / Lizzit, D. / Palestri, P. / Esseni, D. / Schenk, A. / Selmi, L. / Luisier, M. et al. | 2016
- 30.7.1
-
Vertically stacked nanowire MOSFETs for sub-10nm nodes: Advanced topography, device, variability, and reliability simulationsKarner, M. / Baumgartner, O. / Stanojevic, Z. / Schanovsky, F. / Strof, G. / Kernstock, C. / Karner, H. W. / Rzepa, G. / Grasset, T. et al. | 2016
- 31.1.1
-
Engineering the electronic defect bands at the Si1−xGex/IL interface: Approaching the intrinsic carrier transport in compressively-strained Si1−xGex pFETsLee, ChoongHyun / Southwick, Richard G. / Jagannathan, Hemanth et al. | 2016
- 31.2.1
-
Acceptor-like trap effect on negative-bias temperature instability (NBTI) of SiGe pMOSFETs on SRBJiao, Guangfan / Toledano-Luque, Maria / Nam, Kab-Jin / Toshiro, Nakanishi / Lee, Seung-Hun / Kim, Jin-Soak / Kauerauf, Thomas / Chung, EunAe / Bae, Dong-il / Bae, Geumjong et al. | 2016
- 31.3.1
-
Fast-trap characterization in Ge CMOS using Sub-1 ns ultra-fast measurement systemYu, X. / Chen, B. / Cheng, R. / Qu, Y. / Han, J. / Zhang, R. / Zhao, Y. et al. | 2016
- 31.4.1
-
Predictive As-grown-Generation (A-G) model for BTI-induced device/circuit level variations in nanoscale technology nodesGao, R. / Ji, Z. / Hatta, S. M. / Zhang, J. F. / Franco, J. / Kaczer, B. / Zhang, W. / Duan, M. / De Gendt, S. / Linten, D. et al. | 2016
- 31.5.1
-
Deep insights into dielectric breakdown in tunnel FETs with awareness of reliability and performance co-optimizationHuang, Qianqian / Jia, Rundong / Zhu, Jiadi / Lv, Zhu / Wang, Jiaxin / Chen, Cheng / Zhao, Yang / Wang, Runsheng / Bu, Weihai / Wang, Wenbo et al. | 2016
- 31.6.1
-
Comprehensive model for progressive breakdown in nFETs and pFETsLombardo, S. / Wu, E. / Stathis, J. et al. | 2016
- 31.7.1
-
A fundamental AC TDDB study of BEOL ELK in advanced technologyChang, M. N. / Lee, Y.-H. / Lee, S. Y. / Huang, Y. S. et al. | 2016
- 31.8.1
-
Sustainable electronics for nano-spacecraft in deep space missionsMoon, Dong-Il / Park, Jun-Young / Han, Jin-Woo / Jeon, Gwang-Jae / Kim, Jee-Yeon / Moon, John / Seol, Myeong-Lok / Kim, Choong Ki / Lee, Hee Chul / Meyyappan, M. et al. | 2016
- 32.1.1
-
An active artificial iris controlled by a 25-μW flexible thin-film driverDe Roose, Florian / Steudel, Soeren / Myny, Kris / Willegems, Myriam / Smout, Steve / Ameys, Marc / Malinowski, Pawel E. / Gehlhaar, Robert / Poduval, Radhika / Chen, Xinyu et al. | 2016
- 32.2.1
-
High-performance and reliable elevated-metal metal-oxide thin-film transistor for high-resolution displaysLu, Lei / Li, Jiapeng / Kwok, Hoi Sing / Wong, Man et al. | 2016
- 32.3.1
-
Polycrystalline silicon TFTs on a paper substrate using solution-processed siliconTrifunovic, M. / Sberna, P. M. / Shimoda, T. / Ishihara, R. et al. | 2016
- 32.4.1
-
High-detectivity printed organic photodiodes for large area flexible imagersPierre, Adrien / Arias, Ana Claudia et al. | 2016
- 32.5.1
-
Dual-gate photosensitive FIN-TFT with high photoconductive gain and near-UV to near-IR responsivityOu, H. / Wang, K. / Chen, J. / Nathan, A. / Deng, S. Z. / Xu, N. S. et al. | 2016
- 32.6.1
-
Extending the functionality of FDSOI N- and P-FETs to light sensingKadura, L. / Grenouillet, L. / Bedecarrats, T. / Rozeau, O. / Rambal, N. / Scheiblin, P. / Tabone, C. / Blachier, D. / Faynot, O. / Chelnokov, A. et al. | 2016
- 33.1.1
-
Record high mobility (428cm2/V-s) of CVD-grown Ge/strained Ge0.91Sn0.09/Ge quantum well p-MOSFETsHuang, Yu-Shiang / Huang, Chih-Hsiung / Lu, Fang-Liang / Lin, Chung-Yi / Ye, Hung-Yu / Wong, I-Hsieh / Jan, Sun-Rong / Lan, Huang-Siang / Liu, C. W. / Huang, Yi-Chiau et al. | 2016
- 33.2.1
-
Processing and characterization of Si/Ge quantum dotsMiyazaki, S. / Makihara, K. / Ohta, A. / Ikeda, M. et al. | 2016
- 33.3.1
-
High performance and reliability Ge channel CMOS with a MoS2 capping layerLi, J. / Xie, S. / Zheng, Z. / Zhang, Y. / Zhang, R. / Xu, M. / Zhao, Y. et al. | 2016
- 33.4.1
-
Si-passivated Ge nMOS gate stack with low Dit and dipole-induced superior PBTI reliability using 3D-compatible ALD caps and high-pressure annealArimura, H. / Cott, D. / Loo, R. / Vanherle, W. / Xie, Q. / Tang, F. / Jiang, X. / Franco, J. / Sioncke, S. / Ragnarsson, L.-A. et al. | 2016
- 33.5.1
-
High performance complementary Ge peaking FinFETs by room temperature neutral beam oxidation for sub-7 nm technology node applicationsLee, Y.-J. / Hong, T.-C. / Hsueh, F.-K. / Sung, P.-J. / Chen, C.-Y. / Chuang, S.-S. / Cho, T.-C. / Noda, S. / Tsou, Y.-C. / Kao, K.-H. et al. | 2016
- 33.6.1
-
High performance Ge junctionless gate-all-around NFETs with simultaneous Ion =1235 μA/μm at Vov=Vds=1V, SS=95 mV/dec, high Ion/Ioff=2×106, and reduced noise power density using S/D dopant recovery by selective laser annealingWong, I-Hsieh / Lu, Fang-Liang / Huang, Shih-Hsien / Ye, Hung-Yu / Lu, Chun-Ti / Yan, Jhih-Yang / Shen, Yu-Cheng / Peng, Yu-Jiun / Lan, Huang-Siang / Liu, C. W. et al. | 2016
- 34.1.1
-
Coupling MOS quantum dot and phosphorous donor qubit systemsRudolph, M. / Harvey-Collard, P. / Jock, R. / Jacobson, T. / Wendt, J. / Pluym, T. / Dominguez, J. / Ten-Eyck, G. / Manginell, R. / Lilly, M. P. et al. | 2016
- 34.2.1
-
Quantum information processing in a silicon-based systemYang, Tsung-Yeh / Andreev, Aleksey / Yamaoka, Yu / Ferrus, Thierry / Oda, Shunri / Kodera, Tetsuo / Williams, David A. et al. | 2016
- 34.3.1
-
Experimental demonstration of nanomagnet networks as hardware for Ising computingDebashis, Punyashloka / Faria, Rafatul / Camsari, Kerem Y. / Appenzeller, Joerg / Datta, Supriyo / Chen, Zhihong et al. | 2016
- 34.4.1
-
Functional passive material VO2 for analogue signal processing with high-speed, low power, and robust performanceYajima, T. / Nishimura, T. / Toriumi, A. et al. | 2016
- 34.5.1
-
Low-voltage artificial neuron using feedback engineered insulator-to-metal-transition devicesLin, J. / Annadi, / Sonde, S. / Chen, C. / Stan, L. / Achari, K.V.L.V / Ramanathan, S. / Guha, S. et al. | 2016
- 34.6.1
-
Ag/HfO2 based threshold switch with extreme non-linearity for unipolar cross-point memory and steep-slope phase-FETsShukla, N. / Grisafe, B. / Ghosh, R. K. / Jao, N. / Aziz, A. / Frougier, J. / Jerry, M. / Sonde, S. / Rouvimov, S. / Orlova, T. et al. | 2016
- 34.7.1
-
Excellent threshold switching device (Ioff ∼ 1 pA) with atom-scale metal filament for steep slope (< 5 mV/dec), ultra low voltage (Vdd = 0.25 V) FET applicationsLim, Seokjae / Yoo, Jongmyung / Song, Jeonghwan / Woo, Jiyong / Park, Jaehyuk / Hwang, Hyunsang et al. | 2016
- 34.8.1
-
2D h-BN based RRAM devicesPuglisi, F. M. / Larcher, L. / Pan, C. / Xiao, N. / Shi, Y. / Hui, F. / Lanza, M. et al. | 2016
- 35.1.1
-
New perspectives for multicore architectures using advanced technologiesClermidy, F. / Vivet, P. / Dutoit, D. / Thonnart, Y. / Gonzales, J. L. / Noel, J. P / Giraud, B. / Levisse, A. / Billoint, O. / Thuries, S. et al. | 2016
- 35.2.1
-
Ultra-low-resistance 3D InFO inductors for integrated voltage regulator applicationsChen, C.-L. / Hsu, Y.-C. / Hsieh, J.-S. / Tsai, C.-H. / Chang, V. C. Y. / Roth, A. / Soenen, E. / Wang, C.-T. / Yu, Douglas et al. | 2016
- 35.3.1
-
High-Q magnetic inductors for high efficiency on-chip power conversionWang, Naigang / Doris, Bruce B. / Shehata, Andrea Bahgat / Sullivan, Eugene J. O' / Brown, Stephen L. / Rossnagel, Stephen / Ott, John / Gignac, Lynne / Massouras, Maryam / Romankiw, Lubomyr T. et al. | 2016
- 35.4.1
-
ESD diodes in a bulk Si gate-all-around vertically stacked horizontal nanowire technologyChen, S.-H. / Hellings, G. / Scholz, M. / Linten, D. / Mertens, H. / Ritzenthaler, R. / Boschke, R. / Groeseneken, G. / Horiguchi, N. et al. | 2016
- 35.5.1
-
Characterization of PVT variation & aging induced hold time margins of flip-flop arrays at NTV in 22nm tri-gate CMOSAugustine, Charles / Tokunaga, Carlos / Malavasi, Andres / Raychowdhury, Arijit / Khellah, Muhammad / Tschanz, James / De, Vivek et al. | 2016
- 35.6.1
-
Thermal resistance modeling of back-end interconnect and intrinsic FinFETs, and transient simulation of inverters with capacitive loading effectsYan, Jhih-Yang / Jan, Sun-Rong / Peng, Yu-Jiun / Lin, H. H. / Wan, W. K. / Huang, Y.-H. / Hung, Bigchoug / Chan, K.-T. / Huang, Michael / Yang, M.-T. et al. | 2016
- 36.1.1
-
Surface roughness limited mobility in multi-gate FETs with arbitrary cross-sectionBadami, O. / Lizzit, D. / Specogna, R. / Esseni, D. et al. | 2016
- 36.2.1
-
Nitridation of GaN surface for power device application: A first-principles studyZhang, Zhaofu / Li, Baikui / Tang, Xi / Qian, Qingkai / Hua, Mengyuan / Huang, Baoling / Chen, Kevin J. et al. | 2016
- 36.3.1
-
Manipulating spin polarization and carrier mobility in zigzag graphene ribbons using an electric fieldLi, Jing / Niquet, Yann-Michel / Delerue, Christophe et al. | 2016
- 36.4.1
-
Density functional theory simulations and experimental measurements of a-HfO2/a-Si3N4/SiGe, a-HfO2/SiO0.8N0.8/SiGe and a-HfO2/a-SiO/SiGe interfacesChagarov, E. / Sardashti, K. / Edmonds, M. / Clemons, M. / Kummel, A. et al. | 2016
- 36.5.1
-
Insight into PBTI in InGaAs nanowire FETs with Al2O3 and LaAlO3 gate dielectricsLi, Y. / Di, S. Y. / Jiang, H. / Huang, P. / Wang, Y. J. / Lun, Z. Y. / Shen, L. / Yin, L. X. / Zhang, X. / Du, G. et al. | 2016
- 36.6.1
-
Contact resistivities in gamma-valley materialsSolomon, P. M. et al. | 2016
- 36.7.1
-
TCAD-based investigation on transport properties of Diamond-like carbon coatings for HV-ICsReggiani, S. / Giordano, C. / Gnudi, A. / Gnani, E. / Baccarani, G. / Dobrzynska, J. / Vobecky, J. / Bellini, M. et al. | 2016