Few electron devices: towards hybrid CMOS-SET integrated circuits (Englisch)
- Neue Suche nach: Ionescu, A.M.
- Neue Suche nach: Declercq, M.J.
- Neue Suche nach: Mahapatra, S.
- Neue Suche nach: Banerjee, K.
- Neue Suche nach: Gautier, J.
- Neue Suche nach: Ionescu, A.M.
- Neue Suche nach: Declercq, M.J.
- Neue Suche nach: Mahapatra, S.
- Neue Suche nach: Banerjee, K.
- Neue Suche nach: Gautier, J.
In:
Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324)
;
88-93
;
2002
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Few electron devices: towards hybrid CMOS-SET integrated circuits
-
Beteiligte:Ionescu, A.M. ( Autor:in ) / Declercq, M.J. ( Autor:in ) / Mahapatra, S. ( Autor:in ) / Banerjee, K. ( Autor:in ) / Gautier, J. ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.01.2002
-
Format / Umfang:668862 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 2
-
IP delivery for FPGAs using applets and JHDLWirthlin, M.J. / McMurtrey, B. et al. | 2002
- 8
-
Watermarking integer linear programming solutionsMegerian, S. / Drinic, M. / Potkonjak, M. et al. | 2002
- 14
-
Model design using hierarchical Web-based librariesBernardi, F. / Santucci, J.-F. et al. | 2002
- 18
-
Behavioral synthesis via engineering changeDrinic, M. / Kirovski, D. et al. | 2002
- 22
-
A universal technique for fast and flexible instruction-set architecture simulationNohl, A. / Braun, G. / Schliebusch, O. / Leupers, R. / Meyr, H. / Hoffmann, A. et al. | 2002
- 28
-
A fast on-chip profiler memoryLysecky, R. / Cotterell, S. / Vahid, F. et al. | 2002
- 34
-
Design of an one-cycle decompression hardware for performance increase in embedded systemsLekatsas, H. / Henkel, J. / Jakkula, V. et al. | 2002
- 40
-
A factorization-based framework for passivity-preserving model reduction of RLC systemsSu, Q. / Balakrishnan, V. / Koh, C.-K. et al. | 2002
- 46
-
Model order reduction for strictly passive and causal distributed systemsDaniel, L. / Phillips, J. et al. | 2002
- 52
-
Guaranteed passive balancing transformations for model order reductionPhillips, J. / Daniel, L. / Miguel Silveira, L. et al. | 2002
- 58
-
Uncertainty-aware circuit optimizationXiaoliang Bai, / Visweswariah, C. / Strenski, P.N. / Hathaway, D.J. et al. | 2002
- 64
-
Congestion-driven codesign of power and signal networksHaihua Su, / Jiang Hu, / Sapatnekar, S.S. / Nassif, S.R. et al. | 2002
- 70
-
On metrics for comparing routability estimation methods for FPGAsKannan, P. / Balachandran, S. / Bhatia, D. et al. | 2002
- 76
-
PANEL: tools or users: which is the bigger bottleneck?Kahng, A.B. / Dahlberg, B. et al. | 2002
- 78
-
Life is CMOS: why chase the life after?Sery, G. / Borkar, S. / De, V. et al. | 2002
- 84
-
The next chip challenge: effective methods for viable mixed technology SoCsPogge, H.B. et al. | 2002
- 88
-
Few electron devices: towards hybrid CMOS-SET integrated circuitsIonescu, A.M. / Declercq, M.J. / Mahapatra, S. / Banerjee, K. / Gautier, J. et al. | 2002
- 94
-
Carbon nanotube field-effect transistors and logic circuitsMartel, R. / Derycke, V. / Appenzeller, J. / Wind, S. / Avouris, P. et al. | 2002
- 99
-
Efficient state representation for symbolic simulationBertacco, V. / Olukotun, K. et al. | 2002
- 105
-
Handling special constructs in symbolic simulationKolbl, A. / Kukula, J. / Antreich, K. / Damiano, R. et al. | 2002
- 111
-
A hybrid verification approach: Getting deep into the designHazelhurst, S. / Kamhi, G. / Weissberg, O. / Fix, L. et al. | 2002
- 117
-
Can BDDs compete with SAT solvers on Bounded Model Checking?Cabodi, G. / Camurati, P. / Quer, S. et al. | 2002
- 123
-
RTL C-based methodology for designing and verifying a multi-threaded processorSemeria, L. / Seawright, A. / Mehra, R. / Ng, D. / Ekanayake, A. / Pangrle, B. et al. | 2002
- 129
-
High-level specification and automatic generation of IP interface monitorsOliveira, M.T. / Hu, A.J. et al. | 2002
- 135
-
Achieving maximum performance: A method for the verification of interlocked pipeline control logicEder, K. / Barrett, G. et al. | 2002
- 141
-
Formal verification of module interfaces against real time specificationsChakrabarti, A. / Dasgupta, P. / Chakrabarti, P.P. / Banerjee, A. et al. | 2002
- 146
-
Automated timing model generationDaga, A.J. / Mize, L. / Sripada, S. / Wolff, C. / Qiuyang Wu, et al. | 2002
- 152
-
Timing model extraction of hierarchical blocks by graph reductionMoon, C.W. / Kriplani, H. / Belkhale, K.P. et al. | 2002
- 158
-
Efficient stimulus independent timing abstraction model based on a new concept of circuit block transparencyFoltin, M. / Foutz, B. / Tyler, S. et al. | 2002
- 164
-
An implication-based method to detect multi-cycle paths in large sequential circuitsHiguchi, H. et al. | 2002
- 170
-
The wearable motherboard: a framework for personalized mobile information processing (PMIP)Sungmee Park, / Mackenzie, K. / Jayaraman, S. et al. | 2002
- 175
-
Challenges and opportunities in electronic textiles modeling and optimizationMarculescu, D. / Marculescu, R. / Khosla, P.K. et al. | 2002
- 181
-
PANEL: analog intellectual property: now? or never?Ohr, S. / Marchant, L. et al. | 2002
- 183
-
Task scheduling and voltage selection for energy minimizationYumin Zhang, / Xiaobo Hu, / Chen, D.Z. et al. | 2002
- 189
-
Battery-conscious task sequencing for portable devices including voltage/clock scalingRakhmatov, D. / Vrudhula, S. / Chakrabarti, C. et al. | 2002
- 195
-
An energy saving strategy based on adaptive loop parallelizationKadayif, I. / Kandemir, M. / Karakoy, M. et al. | 2002
- 201
-
River PLAs: a regular circuit structureFan Mo, / Brayton, R.K. et al. | 2002
- 207
-
Layout-aware synthesis of arithmetic circuitsJunhyung Um, / Taewhan Kim, et al. | 2002
- 213
-
Automatic data migration for reducing energy consumption in multi-bank memory systemsDe La Luz, V. / Kandemir, M. / Kolcu, I. et al. | 2002
- 219
-
Exploiting shared scratch pad memory space in embedded multiprocessor systemsKandemir, M. / Ramanujam, J. / Choudhary, A. et al. | 2002
- 225
-
Address assignment combined with scheduling in DSP code generationYoonseo Choi, / Taewhan Kim, et al. | 2002
- 231
-
Multifunctional photonic integration for the agile optical InternetSargent, E.H. et al. | 2002
- 231
-
Multifunctional photonic integration for the agile optial internetSargent, E.H. et al. | 2002
- 235
-
Computer aided design of long-haul optical transmission systemsMaloney, J.G. / Brewington, B.E. / Menyuk, C.R. et al. | 2002
- 236
-
A fast optical propagation technique for modeling micro-optical systemsKurzweg, T.P. / Levitan, S.P. / Martinez, J.A. / Kahrs, M. / Chiarulli, D.M. et al. | 2002
- 242
-
Panel: nanometer design: what hurts next....?Pileggi, L. / Rutenbar, R.A. et al. | 2002
- 243
-
Low-cost sequential ATPG with clock-control DFTAbrarnovici, M. / Xiaoming Yu, / Rudnick, E.M. et al. | 2002
- 249
-
Effective diagnostics through interval unloads in a BIST environmentWohl, P. / Waicukauski, J.A. / Patel, S. / Maston, G. et al. | 2002
- 255
-
On output response compression in the presence of unknown output valuesPomeranz, I. / Kundu, S. / Reddy, S.M. et al. | 2002
- 259
-
Software-based diagnosis for processorsLi Chen, / Dey, S. et al. | 2002
- 263
-
Design of a high-throughput low-power IS95 Viterbi decoderXun Liu, / Papaefthymiou, M.C. et al. | 2002
- 269
-
A detailed cost model for concurrent use with hardware/software co-designRagan, D. / Sandborn, P. / Stoaks, P. et al. | 2002
- 275
-
Efficient code synthesis from extended dataflow graphs for multimedia applicationsHyunok Oh, / Soonhoi Ha, et al. | 2002
- 281
-
Transformation based communication and clock domain refinement for system designSander, I. / Jantsch, A. et al. | 2002
- 287
-
Model composition for scheduling analysis in platform designRichter, K. / Ziegenbein, D. / Jersak, M. / Ernst, R. et al. | 2002
- 293
-
Timed compiled-code simulation of embedded software for performance analysis of SOC designJong-Yeol Lee, / In-Cheol Park, et al. | 2002
- 299
-
Automated equivalence checking of switch level circuitsJolly, S. / Parashkevov, A. / McDougall, T. et al. | 2002
- 305
-
A practical and efficient method for compare-point matchingAnastasakis, D. / Damiano, R. / Ma, H.-K.T. / Stanion, T. et al. | 2002
- 311
-
Self-referential verification of gate-level implementations of arithmetic circuitsYing-Tsai Chang, / Kwang-Ting Cheng, et al. | 2002
- 317
-
Panel: whither (or wither?) ASIC handoff?Santarini, M. / Jilla, S. et al. | 2002
- 319
-
Software synthesis from synchronous specifications using logic simulation techniquesYunjian Jiang, / Brayton, R.K. et al. | 2002
- 319
-
Software Synthesis from Synchronous Specification Using Logic Simulation TechniquesJiang, Y. / Brayton, R. K. / Association for Computing Machinery et al. | 2002
- 325
-
Complex library mapping for embedded software using symbolic algebraPeymandoust, A. / Simunic, T. / De Micheli, G. et al. | 2002
- 331
-
Retargetable binary utilitiesAbbaspour, M. / Jianwen Zhu, et al. | 2002
- 337
-
Exploiting operation level parallelism through dynamically reconfigurable datapathsZhining Huang, / Sharad, M. et al. | 2002
- 343
-
Dynamic hardware plugins in an FPGA with partial run-time reconfigurationHorta, E.L. / Lockwood, J.W. / Taylor, D.E. / Parlour, D. et al. | 2002
- 349
-
A reconfigurable FPGA-based readback signal generator for hard-drive read channel simulatorJinghuan Chen, / Jaekyun Moon, / Bazargan, K. et al. | 2002
- 355
-
Embedded software-based self-testing for SoC designKirstic, A. / Lai, W.-C. / Chen, L. / Cheng, K.-T. / Dey, S. et al. | 2002
- 361
-
A novel wavelet transform based transient current analysis for fault detection and localizationBhunia, S. / Roy, K. / Segura, J. et al. | 2002
- 367
-
Signal integrity fault analysis using reduced-order modelingAttarha, A. / Nourani, M. et al. | 2002
- 371
-
Enhancing Test Efficiency for Delay Fault Testing Using Multiple-Clock SchemesLiou, J.-J. / Wang, L.-C. / Cheng, K.-T. / Dworak, J. / Mercer, M. R. / Kapur, R. / Williams, T. W. / Association for Computing Machinery et al. | 2002
- 371
-
Enhancing test efficiency for delay fault testing using multiple-clocked schemesJing-Jia Liou, / Wang, L.-C. / Kwang-Ting Cheng, et al. | 2002
- 375
-
Going mobile: the next horizon for multi-million gate designs in the semi-conductor industryBerthet, C. et al. | 2002
- 379
-
HiPRIME: hierarchical and passivity reserved interconnect macromodeling engine for RLKC power deliveryYahong Cao, / Yu-Min Lee, / Tsung-Hao Chen, / Chen, C.C.-P. et al. | 2002
- 385
-
High-level current macro-model for power-grid analysisBodapati, S. / Najm, F.N. et al. | 2002
- 391
-
Macro-modeling concepts for the chip electrical interfaceAmick, B.W. / Gauthier, C.R. / Liu, D. et al. | 2002
- 395
-
Modeling and analysis of regular symmetrically structured power/ground distribution networksHui Zheng, / Pileggi, L.T. et al. | 2002
- 399
-
Clock tree optimization in synchronous CMOS digital circuits for substrate noise reduction using folding of supply current transientsBadaroglu, M. / Tiri, K. / Donnay, S. / Wambacq, P. / Verbauwhede, I. / Gielen, G. / De Man, H. et al. | 2002
- 405
-
Resynthesis and peephole transformations for the optimization of large-scale asynchronous systemsChelcea, T. / Nowick, S.M. et al. | 2002
- 411
-
Design of asynchronous circuits by synchronous CAD toolsKondratyev, A. / Lwin, K. et al. | 2002
- 415
-
Implementing asynchronous circuits using a conventional EDA tool-flowSotiriou, C.P. et al. | 2002
- 419
-
Transformation rules for designing CNOT-based quantum circuitsIwama, K. / Kambayashi, Y. / Yamashita, S. et al. | 2002
- 425
-
Fast three-level logic minimization based on autosymmetryBernasconi, A. / Ciriani, V. / Luccio, F. / Pagli, L. et al. | 2002
- 431
-
An efficient optimization-based technique to generate posynomial performance models for analog integrated circuitsDaems, W. / Gielen, G. / Sansen, W. et al. | 2002
- 437
-
Remembrance of circuits past: macromodeling by data mining in large analog design spacesHongzhou Liu, / Singhee, A. / Rutenbar, R.A. / Carley, L.R. et al. | 2002
- 443
-
Optimal design of delta-sigma ADCs by design space explorationBajdechi, O. / Gielen, G. / Huijsing, J.H. et al. | 2002
- 449
-
Systematic design of a 200 MS/s 8-bit interpolating/averaging A/D converterVandenbussche, J. / Uyttenhove, K. / Lauwers, E. / Steyaert, M. / Gielen, G. et al. | 2002
- 455
-
Petri net modeling of gate and interconnect delays for power estimationMurugavel, A.K. / Ranganathan, N. et al. | 2002
- 461
-
Power estimation in global interconnects and its reduction using a novel repeater optimization methodologyKapur, P. / Chandra, G. / Saraswat, K.C. et al. | 2002
- 467
-
Low-Swing Clock Domino Logic Incorporating Dual Supply and Dual Threshold VoltageJung, S.-O. / Kim, K.-W. / Kang, S.-M. / Association for Computing Machinery et al. | 2002
- 467
-
Low-swing clock domino logic incorporating dual supply and dual threshold voltagesSeong-Ook Jung, / Ki-Wook Kim, / Sung-Mo Kang, et al. | 2002
- 473
-
DRG-cache: a data retention gated-ground cache for low powerAgarwal, A. / Hai Li, / Roy, K. et al. | 2002
- 479
-
Panel: unified tools for soc embedded systems: mission critical, mission impossible or mission irrelevant?Smith, G. / Nadamuni, D. et al. | 2002
- 480
-
Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering techniqueAnis, M. / Areibi, S. / Mahmoud, M. / Elmasry, M. et al. | 2002
- 486
-
Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessorsKarnik, T. / Yibin Ye, / Tschanz, J. / Liqiong Wei, / Burns, S. / Govindarajulu, V. / De, V. / Borkar, S. et al. | 2002
- 492
-
An optimal voltage synthesis technique for a power-efficient satellite applicationDong-In Kang, / Jinwoo Suh, / Crago, S.P. et al. | 2002
- 498
-
Fast and accurate behavioral simulation of fractional-N frequency synthesizers and other PLL/DLL circuitsPerrott, M.H. et al. | 2002
- 504
-
Time-domain steady-state simulation of frequency-dependent components using multi-interval Chebyshev methodBaolin Yang, / Phillips, J. et al. | 2002
- 510
-
A time-domain RF steady-state method for closely spaced tonesRoychowdhury, J. et al. | 2002
- 514
-
An algorithm for frequency-domain noise analysis in nonlinear systemsCasinovi, G. et al. | 2002
- 518
-
System-level performance optimization of the data queueing memory management in high-speed network processorsYkman-Couvreur, C. / Lambrecht, J. / Verkest, D. / Catthoor, F. / Nikologiannis, A. / Konstantoulakis, G. et al. | 2002
- 524
-
Analysis of power consumption on switch fabrics in network routersYe, T.T. / Benini, L. / De Micheli, G. et al. | 2002
- 530
-
Memory optimization in single chip network switch fabricsWhelihan, D. / Schmit, H. et al. | 2002
- 536
-
Behavioral modeling of (coupled) harmonic oscillatorsVanassche, P. / Gielen, G. / Sansen, W. et al. | 2002
- 542
-
Model checking algorithms for analog verificationHartong, W. / Hedrich, L. / Barke, E. et al. | 2002
- 548
-
Regularization of hierarchical VHDL-AMS models using bipartite graphsMades, J. / Glesner, M. et al. | 2002
- 552
-
Expression of Concern: Improving the generality of the fictitious magnetic charge approach to computing inductances in the presence of permeable materialsMassoud, Y. / White, J. et al. | 2002
- 552
-
Improving the Generality of the Fictitious Magnetic Charge Approach to Computing Inductances in the Presence of Permeable MaterialsMassoud, Y. / White, J. / Association for Computing Machinery et al. | 2002
- 556
-
A general probabilistic framework for worst case timing analysisOrshansky, M. / Keutzer, K. et al. | 2002
- 562
-
False timing path identification using ATPG techniques and delay-based informationJing Zeng, / Abadir, M. / Abraham, J. et al. | 2002
- 566
-
False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validationJing-Jia Liou, / Krstic, A. / Wang, L.-C. / Kwang-Ting Cheng, et al. | 2002
- 570
-
A fast, inexpensive and scalable hardware acceleration technique for functional simulationCadambi, S. / Mulpuri, C.S. / Ashar, P.N. et al. | 2002
- 576
-
Panel: formal verification methods: getting around the brick wallDill, D. / James, N. et al. | 2002
- 578
-
S-Tree: a technique for buffered routing tree synthesisHrkic, M. / Lillis, J. et al. | 2002
- 584
-
An algorithm for integrated pin assignment and buffer planningHua Xiang, / Xiaoping Tang, / Wong, D.F. et al. | 2002
- 590
-
An efficient routing databaseShenoy, N.V. / Nicholls, W. et al. | 2002
- 596
-
Automatic generation of embedded memory wrapper for multiprocessor SoCGharsalli, F. / Meftali, S. / Rousseau, F. / Jerraya, A.A. et al. | 2002
- 602
-
A novel synthesis technique for communication controller hardware from declarative data communication protocol specificationsSiegmund, R. / Muller, D. et al. | 2002
- 608
-
An integrated algorithm for memory allocation and assignment in high-level synthesisJaewon Seo, / Taewhan Kim, / Panda, P.R. et al. | 2002
- 612
-
High-level synthesis of multiple-precision circuits independent of data-objects lengthMolina, M.C. / Mendias, J.M. / Hermida, R. et al. | 2002
- 616
-
Schedulability of event-driven code blocks in real-time embedded systemsChakraborty, S. / Erlebach, T. / Kunzli, S. / Thiele, L. et al. | 2002
- 622
-
Associative caches in formal software timing analysisWolf, F. / Staschulat, J. / Ernst, R. et al. | 2002
- 628
-
Compiler-directed scratch pad memory hierarchy design and managementKandemir, M. / Choudhary, A. et al. | 2002
- 634
-
Unlocking the design secrets of a 2.29 Gb/s Rijndael processorSchaumont, P.R. / Kuo, H. / Verbauwhede, I.M. et al. | 2002
- 640
-
The iCORE™ 520 MHz Synthesizable CPU CoreRichardson, N. / Huang, L. B. / Hossain, R. / Zounes, T. / Soni, N. / Lewis, J. / Association for Computing Machinery et al. | 2002
- 640
-
The iCORE/spl trade/ 520 MHz synthesizable CPU coreRichardson, N. / Lun Bin Huang, / Hossain, R. / Zounes, T. / Soni, N. / Lewis, J. et al. | 2002
- 646
-
A Flexible Accelerator for Layer 7 Networking ApplicationMemik, G. / Mangione-Smith, W. H. / Association for Computing Machinery et al. | 2002
- 646
-
A flexible accelerator for Layer 7 networking applicationsMemik, G. / Mangione-Smith, W.H. et al. | 2002
- 652
-
Panel: what's the next eda driver?Rabaey, J. / Kunkel, J. et al. | 2002
- 653
-
Estimation of the likelihood of capacitive coupling noiseVrudhula, S.B.K. / Blaauw, D. / Sirichotiyakul, S. et al. | 2002
- 659
-
Crosstalk noise estimation for noise managementMorton, P.B. / Dai, W. et al. | 2002
- 665
-
Variable frequency crosstalk noise analysis: a methodology to guarantee functionality from dc to f/sub max/Krauter, B. / Widiger, D. et al. | 2002
- 665
-
Variable Frequency Crosstalk Noise Analysis: A Methodology to Guarantee Funtionality from dc to f~m~a~xKrauter, B. / Widiger, D. / Association for Computing Machinery et al. | 2002
- 669
-
Towards global routing with RLC crosstalk constraintsMa, J.D.Z. / Lei He, et al. | 2002
- 673
-
Reduction of SOC test data volume, scan power and testing time using alternating run-length codesChandra, A. / Chakrabarty, K. et al. | 2002
- 679
-
Embedded test control schemes for compression in SOCsKay, D. / Sung Chung, / Mourad, S. et al. | 2002
- 685
-
Wrapper/TAM co-optimization, constraint-driven test scheduling, and tester data volume reduction for SOCsIyengar, V. / Chakrabarty, K. / Marinissen, E.J. et al. | 2002
- 691
-
Communication architecture based power management for battery efficient system designLahiri, K. / Raghunathan, A. / Dey, S. et al. | 2002
- 697
-
Scheduler-based DRAM energy managementDelaluz, V. / Sivasubramaniam, A. / Kandemir, M. / Vijaykrishnan, N. / Irwin, M.J. et al. | 2002
- 703
-
An integer linear programming based approach for parallelizing applications in on-chip multiprocessorsKadayif, I. / Kandemir, M. / Sezer, U. et al. | 2002
- 703
-
An Integer Linear Programming Based Approach for Parallelizing Application in On-Chip MultiprocessorsKadayif, I. / Kandemir, M. / Sezer, U. / Association for Computing Machinery et al. | 2002
- 709
-
Embedding infrastructure IP for SOC yield improvementZorian, Y. et al. | 2002
- 713
-
Using embedded FPGAs for SoC yield improvementAbramovici, M. / Stroud, C. / Emmert, M. et al. | 2002
- 725
-
A proof engine approach to solving combinational design automation problemsAndersson, G. / Bjesse, P. / Cook, B. / Hanna, Z. et al. | 2002
- 731
-
Solving difficult SAT instances in the presence of symmetryAloul, F.A. / Ramani, A. / Markov, I.L. / Sakallah, K.A. et al. | 2002
- 737
-
Satometer: how much have we searched?Aloul, F.A. / Sierawski, B.D. / Sakallah, K.A. et al. | 2002
- 743
-
SAT with partial clauses and back-leapsPilarski, S. / Hu, G. et al. | 2002
- 747
-
Combining strengths of circuit-based and CNF-based algorithms for a high-performance SAT solverGanai, M.K. / Lintao Zhang, / Ashar, P. / Gupta, A. / Malik, S. et al. | 2002
- 751
-
A solenoidal basis method for efficient inductance extractionMahawar, H. / Sarin, V. / Weiping Shi, et al. | 2002
- 757
-
On the efficacy of simplified 2D on-chip inductance modelsLin, T. / Beaftie, M.W. / Pileggi, L.T. et al. | 2002
- 763
-
A physical model for the transient response of capacitively loaded distributed RLC interconnectsVenkatesan, R. / Davis, J.A. / Meindl, J.D. et al. | 2002
- 767
-
HSpeedEx: a high-speed extractor for substrate noise analysis in complex mixed-signal SOCKoukab, A. / Dehollain, C. / Declercq, M. et al. | 2002
- 771
-
Combined BEM/FEM substrate resistance modelingSchrik, E. / van der Meijs, N.R. et al. | 2002
- 777
-
System design methodologies for a wireless security processing platformRavi, S. / Raghunathan, A. / Potlapally, N. / Sankaradass, M. et al. | 2002
- 783
-
Constraint-driven communication synthesisPinto, A. / Carloni, L.R. / Sangiovanni-Vincentelli, A.L. et al. | 2002
- 789
-
Component-based design approach for multicore SoCsCescirio, W. / Baghdadi, A. / Gauthier, L. / Lyonnard, D. / Nicolescu, G. / Paviot, Y. / Yoo, S. / Jerraya, A.A. / Diaz-Nava, M. et al. | 2002
- 795
-
Traffic analysis for on-chip networks design of multimedia applicationsVaratkar, G. / Marculescu, R. et al. | 2002
- 801
-
Deriving a simulation input generator and a coverage metric from a formal specificationShimizu, K. / Dill, D.L. et al. | 2002
- 807
-
Hole analysis for functional coverage dataLachish, O. / Marcus, E. / Ur, S. / Ziv, A. et al. | 2002
- 813
-
Effective safety property checking using simulation-based sequential ATPGShuo Sheng, / Takayama, K. / Hsiao, M.S. et al. | 2002
- 819
-
A comparison of three verification techniques: directed testing, pseudo-random testing and property checkingBartley, M.G. / Galpin, D. / Blackmore, T. et al. | 2002
- 824
-
Energy-efficient communication protocolsChiasserini, C.F. / Nuggehalli, P. / Srinivasan, V. et al. | 2002
- 830
-
Reliable and energy-efficient digital signal processingShanbhag, N. et al. | 2002
- 836
-
CMOS: a paradigm for low power wireless?Steyaert, M. / Vancorenland, P. et al. | 2002
- 842
-
TCG-S: Orthogonal Coupling of P^*-admissible Representation for General FloorplansLin, J.-M. / Chang, Y.-W. / Association for Computing Machinery et al. | 2002
- 842
-
TCG-S: orthogonal coupling of P*-admissible representations for general floorplansJai-Ming Lin, / Yao-Wen Chang, et al. | 2002
- 848
-
Floorplanning with alignment and performance constraintsXiaoping Tang, / Wong, D.F. et al. | 2002
- 854
-
Algorithms for simultaneous satisfaction of multiple constraints and objective optimization in a placement flow with application to congestion controlKe Zhong, / Dutt, S. et al. | 2002
- 860
-
Coping with buffer delay change due to power and ground noiseChen, L.H. / Marek-Sadowska, M. / Brewer, F. et al. | 2002
- 866
-
Osculating Thevenin model for predicting delay and slew of capacitively characterized cellsSheehan, B.N. et al. | 2002
- 870
-
Timed pattern generation for noise-on-delay calculationSeung Hoon Choi, / Dartu, F. / Roy, K. et al. | 2002
- 874
-
VeriCDF: a new verification methodology for charged device failuresJaesik Lee, / Ki-Wook Kim, / Sung-Mo Kang, et al. | 2002
- 880
-
A framework for evaluating design tradeoffs in packet processing architecturesThiele, L. / Chakraborty, S. / Gries, M. / Kunzli, S. et al. | 2002
- 886
-
Energy estimation and optimization of embedded VLIW processors based on instruction clusteringBona, A. / Sami, M. / Sciuto, D. / Silvano, C. / Zaccaria, V. / Zafalon, R. et al. | 2002
- 892
-
Energy exploration and reduction of SDRAM memory systemsYongsoo Joo, / Yongseok Choi, / Hojun Shim, et al. | 2002
- 898
-
Coordinated transformations for high-level synthesis of high performance microprocessor blocksGupta, S. / Kam, T. / Kishinevsky, M. / Rotem, S. / Savoiu, N. / Dutt, N. / Gupta, R. / Nicolau, A. et al. | 2002
- 904
-
Forward-Looking Objective Functions: Concept & Applications in High Level SynthesisWong, J. L. / Megerian, S. / Potkonjak, M. / Association for Computing Machinery et al. | 2002
- 904
-
Forward-looking objective functions: concept and applications in high level synthesisWong, J.L. / Megerian, S. / Potkonjak, M. et al. | 2002
- 910
-
ILP-based engineering changeKoushanfar, F. / Wong, J.L. / Feng, J. / Potkonjak, M. et al. | 2002
- 916
-
Conference author/panelist index| 2002
-
Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324)| 2002