Experimental analyses of the mechanical reliability of advanced BEOL/fBEOL stacks regarding CPI loading (Englisch)
- Neue Suche nach: Geisler, H.
- Neue Suche nach: Schuchardt, E.
- Neue Suche nach: Brueckner, M.
- Neue Suche nach: Hofmann, P.
- Neue Suche nach: Machani, K. V.
- Neue Suche nach: Kuechenmeister, F.
- Neue Suche nach: Breuer, D.
- Neue Suche nach: Engelmann, H.
- Neue Suche nach: Geisler, H.
- Neue Suche nach: Schuchardt, E.
- Neue Suche nach: Brueckner, M.
- Neue Suche nach: Hofmann, P.
- Neue Suche nach: Machani, K. V.
- Neue Suche nach: Kuechenmeister, F.
- Neue Suche nach: Breuer, D.
- Neue Suche nach: Engelmann, H.
In:
2013 IEEE International Reliability Physics Symposium (IRPS)
;
5C.1.1-5C.1.10
;
2013
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Experimental analyses of the mechanical reliability of advanced BEOL/fBEOL stacks regarding CPI loading
-
Beteiligte:Geisler, H. ( Autor:in ) / Schuchardt, E. ( Autor:in ) / Brueckner, M. ( Autor:in ) / Hofmann, P. ( Autor:in ) / Machani, K. V. ( Autor:in ) / Kuechenmeister, F. ( Autor:in ) / Breuer, D. ( Autor:in ) / Engelmann, H. ( Autor:in )
-
Erschienen in:2013 IEEE International Reliability Physics Symposium (IRPS) ; 5C.1.1-5C.1.10
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.04.2013
-
Format / Umfang:1712231 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Board of directors| 2013
- 1
-
2014 IEEE International Reliability Physics Symposium [Call for papers]| 2013
- 1
-
2013 IRPS officers and committees| 2013
- 1
-
PrefaceKrishnan, Srikanth et al. | 2013
- 1
-
2011 IRPS paper awards recognized at 2012 IRPS [4 awards]| 2013
- 1
-
Biographies| 2013
- 1
-
2013 IRPS tutorial program [27 abstracts of IRPS tutorials]Kaplar, Robert et al. | 2013
- 1
-
2012 IRPS paper awards to be recognized at 2013 IRPS [5 awards]| 2013
- 1
-
[Keynote addresses - 2 abstracts]| 2013
- 1
-
Mark your calendar and plan to submit a paper [2014 IRPS]| 2013
- 1
-
[Copyright notice]| 2013
- 1
-
Technical program committee| 2013
- 1
-
IRPS2013 - Workshop program Tuesday, April 16 (7:30 p.m. to 9:30 p.m.)Meneghesso, Gaudenzio et al. | 2013
- 2A.1.1
-
Compact modeling for simulation of circuit reliability: Historical and industrial perspectivesLee, P. M. et al. | 2013
- 2A.2.1
-
A compact SPICE model for statistical post-breakdown gate current increase due to TDDBSoo Youn Kim, / Panagopoulos, G. / Chih-Hsiang Ho, / Katoozi, M. / Cannon, E. / Roy, K. et al. | 2013
- 2A.3.1
-
Compact reliability model for degradation of advanced p-MOSFETs due to NBTI and hot-carrier effects in the circuit simulationMa, C. / Mattausch, H. J. / Miyake, M. / Iizuka, T. / Miura-Mattausch, M. / Matsuzawa, K. / Yamaguchi, S. / Hoshida, T. / Imade, M. / Koh, R. et al. | 2013
- 2A.4.1
-
Modeling of NBTI-recovery effects in analog CMOS circuitsYilmaz, C. / Heiss, L. / Werner, C. / Schmitt-Landsiedel, D. et al. | 2013
- 2A.5.1
-
Compact Model Council's standard circuit simulator interface for reliability modelingRamadan, A. et al. | 2013
- 2B.1.1
-
Overshoot-induced failures in forward-biased diodes: A new challenge to high-speed ESD designFarbiz, F. / Appaswamy, A. / Salman, A. A. / Boselli, G. et al. | 2013
- 2B.2.1
-
A physics-based compact model for SCR devices used in ESD protection circuitsMertens, R. / Rosenbaum, E. et al. | 2013
- 2B.3.1
-
Power supply clamp for multi-domain mixed-signal SiGe BiCMOS applicationsSalcedo, J. A. / Parthasarathy, S. / Hajjar, Jean-Jacques et al. | 2013
- 2B.4.1
-
Enhanced PMOS-triggered PMLSCR with robust EOS immunityShih-Yu Wang, / Yong-Han He, / Chieh-Wei He, / Hao-Chan Huang, / Yao-Wen Chang, / Tao-Cheng Lu, / Kuang-Chao Chen, / Chih-Yuan Lu, et al. | 2013
- 2B.5.1
-
ESD in FinFET technologies: Past learning and emerging challengesLinten, D. / Hellings, G. / Shih-Hung Chen, / Groeseneken, G. et al. | 2013
- 2C.1.1
-
Electromigration early failure void nucleation and growth phenomena in Cu and Cu(Mn) interconnectsHauschildt, M. / Hennesthal, C. / Talut, G. / Aubel, O. / Gall, M. / Yeap, K. B. / Zschech, E. et al. | 2013
- 2C.2.1
-
Microstructure local effect for electromigration reliability improvement and Cu damascene lines design rules relaxationBana, F. / Ney, D. / Arnaud, L. / Wouters, Y. et al. | 2013
- 2C.3.1
-
Intrinsic study of current crowding and current density gradient effects on electromigration in BEOL copper interconnectsCroes, K. / Li, Y. / Lofrano, M. / Wilson, C. J. / Tokei, Z. et al. | 2013
- 2C.4.1
-
Electromigration extrusion kinetics of Cu interconnectsLijuan Zhang, / Ping-Chuan Wang, / Xiao Hu Liu, / McLaughlin, P. S. / Filippi, R. / Baozhen Li, / Junjing Bao, et al. | 2013
- 2C.5.1
-
Stress-induced-voiding risk factor and stress migration model for Cu interconnect reliabilityYao, H. W. / Justison, P. / Poppe, J. et al. | 2013
- 2D.1.1
-
Technology scaling on High-K & Metal-Gate FinFET BTI reliabilityKyong Taek Lee, / Wonchang Kang, / Eun-Ae Chung, / Gunrae Kim, / Hyewon Shim, / Hyunwoo Lee, / Hyejin Kim, / Minhyeok Choe, / Nae-In Lee, / Patel, Anuj et al. | 2013
- 2D.2.1
-
Advanced characterization of oxide traps: The dynamic time-dependent defect spectroscopyGrasser, T. / Rott, K. / Reisinger, H. / Wagner, P. / Goes, W. / Schanovsky, F. / Waltl, M. / Toledano-Luque, M. / Kaczer, B. et al. | 2013
- 2D.3.1
-
Reduction of the BTI time-dependent variability in nanoscaled MOSFETs by body biasFranco, J. / Kaczer, B. / Toledano-Luque, M. / Roussel, P. J. / Groeseneken, G. / Schwarz, B. / Bina, M. / Waltl, M. / Wagner, P.-J / Grasser, T. et al. | 2013
- 2D.4.1
-
Challenges in the characterization and modeling of BTI induced variability in metal gate / High-k CMOS technologiesKerber, A. / Nigam, T. et al. | 2013
- 2D.5.1
-
Reliability monitoring for highly leaky devicesRyan, J. T. / Campbell, J. P. / Cheung, K. P. / Suehle, J. S. / Southwick, R. G. / Oates, A. S. et al. | 2013
- 2D.6.1
-
Impact of the gate-stack change from 40nm node SiON to 28nm High-K Metal Gate on the Hot-Carrier and Bias Temperature damageBravaix, A. / Randriamihaja, Y. Mamy / Huard, V. / Angot, D. / Federspiel, X. / Arfaoui, W. / Mora, P. / Cacho, F. / Saliva, M. / Besset, C. et al. | 2013
- 2E.1.1
-
Statistical outlier screening for latent defectsTikkanen, J. / Sumikawa, N. / Wang, L. / Winemberg, L. / Abadir, M. S. et al. | 2013
- 2E.2.1
-
A motor drive electronics assembly for Mars Curiosity Rover: An example of assembly qualification for extreme environmentsKolawa, E. A. / Yuan Chen, / Mojarradi, M. M. / Weber, C. T. / Hunter, D. J. et al. | 2013
- 2E.3.1
-
Erratic bits classification for efficient repair strategies in automotive embedded flash memoriesZambelli, C. / Olivo, P. / Koebernik, G. / Ullmann, R. / Bauer, M. / Tempel, G. et al. | 2013
- 2E.4.1
-
Realistic 55nm IC failure in time (FIT) estimates from automotive field returnsHaggag, A. / Barr, A. / Walker, K. / Winemberg, L. et al. | 2013
- 2F.1.1
-
An experimental methodology for the in-situ observation of the time-dependent dielectric breakdown mechanism in Copper/low-k on-chip interconnect structuresKong Boon Yeap, / Gall, M. / Sander, C. / Niese, S. / Zhongquan Liao, / Ritz, Y. / Rosenkranz, R. / Muhle, U. / Gluch, J. / Zschech, E. et al. | 2013
- 2F.2.1
-
Temperature and field interrelation study of low-k TDDB for Cu interconnects with and without liner - New insights to the roles of Cu for a competing breakdown processFen Chen, / Shinosky, M. / Aitken, J. / Chih-Chao Yang, / Edelstein, D. et al. | 2013
- 2F.3.1
-
Reliability characteristics of thin porous low-K silica-based interconnect dielectricsBarbarin, Y. / Croes, K. / Roussel, P. J. / Li, Y. / Verdonck, P. / Baklanov, M. / Tokei, Z. / Zhao, L. et al. | 2013
- 2F.4.1
-
Low field TDDB of BEOL interconnects using >40 months of dataCroes, K. / Roussel, Ph / Barbarin, Y. / Wu, C. / Li, Y. / Bommels, J. / Tokei, Zs et al. | 2013
- 2F.5.1
-
Reliability of MOL local interconnectsKauerauf, T. / Branka, A. / Sorrentino, G. / Roussel, P. / Demuynck, S. / Croes, K. / Mercha, K. / Bommels, J. / Tokei, Z. / Groeseneken, G. et al. | 2013
- 3A.1.1
-
Workload dependent NBTI and PBTI analysis for a sub-45nm commercial microprocessorMintarno, E. / Chandra, V. / Pietromonaco, D. / Aitken, R. / Dutton, R. W. et al. | 2013
- 3A.2.1
-
Interplay between statistical reliability and variability: A comprehensive transistor-to-circuit simulation technologyGerrer, L. / Amoroso, S. M. / Asenov, P. / Ding, J. / Cheng, B. / Adamu-Lema, F. / Markov, S. / Asenov, A. / Reid, D. / Millar, C. et al. | 2013
- 3A.3.1
-
An age-aware library for reliability simulation of digital ICsKatoozi, M. / Cannon, E. H. / Tuan Dao, / Aitken, K. / Fischer, S. / Amort, T. / Brees, R. / Tostenrude, J. et al. | 2013
- 3A.4.1
-
Defect-based methodology for workload-dependent circuit lifetime projections - Application to SRAMWeckx, P. / Kaczer, B. / Toledano-Luque, M. / Grasser, T. / Roussel, P. J. / Kukner, H. / Raghavan, P. / Catthoor, F. / Groeseneken, G. et al. | 2013
- 3A.5.1
-
Technology scaling and reliability challenges in the multicore eraHuard, V. / Cacho, F. / Federspiel, X. et al. | 2013
- 3A.6.1
-
Making reliable memories in an unreliable world (invited)Joshi, R. / Kanj, R. / Adams, C. / Warnock, J. et al. | 2013
- 3B.1.1
-
Resolving discrete emission events: A new perspective for detrapping investigation in NAND Flash memoriesMiccoli, C. / Barber, J. / Compagnoni, C. M. / Paolucci, G. M. / Kessenich, J. / Lacaita, A. L. / Spinelli, A. S. / Koval, R. J. / Goda, A. et al. | 2013
- 3B.2.1
-
Reliability issue of 20 nm MLC NAND FlashTae-Un Youn, / Keum-Whan Noh, / Sang-Mok Yi, / Jong-Wook Kim, / Noh-Yong Park, / Sung-Chul Shin, / Kwang-Hyun Yun, / Byung-Kook Kim, / Sung-Kye Park, / Seok-Kiu Lee, et al. | 2013
- 3B.3.1
-
Error-prediction analyses in 1X, 2X and 3Xnm NAND flash memories for system-level reliability improvement of solid-state drives (SSDs)Tanakamaru, S. / Doi, M. / Takeuchi, K. et al. | 2013
- 3B.4.1
-
Impact of statistical variability and 3D electrostatics on post-cycling anomalous charge loss in nanoscale Flash memoriesAmoroso, S. M. / Gerrer, L. / Adamu-Lema, F. / Markov, S. / Asenov, A. et al. | 2013
- 3B.5.1
-
MONOS specific interface state generation/recovery mechanisms and their impact on reliability propertiesFujii, S. / Sakuma, K. et al. | 2013
- 3B.6.1
-
Analysis on static noise margin improvement in 40nm 6T-SRAM with post-process local electron injected asymmetric pass gate transistorMiyaji, K. / Kobayashi, D. / Takeuchi, K. / Miyano, S. et al. | 2013
- 3C.1.1
-
GaN-HEMTs devices with single- and double-heterostructure for power switching applicationsMeneghesso, G. / Zanandrea, A. / Stocco, A. / Rossetto, I. / De Santi, C. / Rampazzo, F. / Meneghini, M. / Zanoni, E. / Bahat-Treidel, E. / Hilt, O. et al. | 2013
- 3C.2.1
-
Status Quo and trends of GaN power devicesUeda, D. et al. | 2013
- 3C.3.1
-
Highly stable low noise / high power AlN/GaN-on-silicon double heterostructure HEMTs operating at 40 GHzMedjdoub, F. / Tagro, Y. / Grimbert, B. / Ducatteau, D. / Rolland, N. / Silvestri, R. / Meneghini, M. / Zanoni, E. / Meneghesso, G. et al. | 2013
- 3C.4.1
-
Influence of barrier design on current collapse in high voltage AlGaN/GaN HEMTsDasGupta, S. / Biedermann, L. / Sun, M. / Kaplar, R. J. / Marinella, M. J. / Zavadil, K. / Atcitty, S. / Palacios, T. et al. | 2013
- 3C.5.1
-
Comprehensive investigation of on-state stress on D-mode AlGaN/GaN MIS-HEMTsTian-Li Wu, / Marcon, D. / Zahid, M. B. / Van Hove, M. / Decoutere, S. / Groeseneken, G. et al. | 2013
- 3C.6.1
-
Influence of device self-heating on trap activation energy extractionSoci, F. / Chini, A. / Meneghesso, G. / Meneghini, M. / Zanoni, E. et al. | 2013
- 3D.1.1
-
Correlating low energy neutron SER with broad beam neutron and 200 MeV proton SER for 22nm CMOS Tri-Gate devicesJahinuzzaman, S. / Gill, B. / Ambrose, V. / Seifert, N. et al. | 2013
- 3D.2.1
-
Evaluation of logic SER for a network processor and the use of targeted hardening to improve system SER performanceNarasimham, B. / Risch, S. / Wang, J. K. / Spillane, J. / Keegan, L. / Chandrasekharan, K. / Djaja, G. et al. | 2013
- 3D.3.1
-
Estimating the frequency threshold for logic soft errorsMahatme, N. N. / Gaspard, N. J. / Jagannathan, S. / Loveless, T. D. / Abdel-Aziz, H. / Bhuva, B. L. / Massengill, L. W. / Wen, S. / Wong, R. et al. | 2013
- 3D.4.1
-
Soft errors induced by natural radiation at ground level in floating gate flash memoriesJust, G. / Autran, J. L. / Serre, S. / Munteanu, D. / Sauze, S. / Regnier, A. / Ogier, J. L. / Roche, P. / Gasiot, G. et al. | 2013
- 3D.5.1
-
Laser-assisted SER estimation in advanced CMOS technologiesAscazubi, R. / Modoran, G. / Gill, B. / Seifert, N. et al. | 2013
- 3E.1.1
-
A junction leakage mechanism and its effects on advance SRAM failureMaji, D. / Liao, P. J. / Lee, Y.-H / Shih, J. R. / Chen, S. C. / Gao, S. H. / Lee, J. H. / Wu, K. et al. | 2013
- 3E.2.1
-
At speed HTOL test for reliability qualification of high speed mobile applicationsJongwoo Park, / Da Ahn, / Donghee Lee, / Jang, E.-S / Wooyeon Kim, / Sangchul Shin, / Gunrae Kim, / Nae-In Lee, / Sangwoo Pae, et al. | 2013
- 3E.3.1
-
Design for reliability through engineering optimizationWee Loon Ng, / Yong Chiang Ee, / Kin Leong Pey, / Chuan Seng Tan, et al. | 2013
- 3E.4.1
-
Circuit-dependent FMAX, Power and Process optimization to improve product Reliability, Availability and ServiceabilityNsame, P. / Nadkarni, R. / Klazynski, J. N. / Bickford, J. / Sumner, K. / Susso, B. / Kumar, R. / Bazan, G. / Polson, A. / Radaker, R. et al. | 2013
- 3E.5.1
-
Advances in industrial practices for optimal performance/reliability/power trade-off in commercial high-performance microprocessors for wireless applicationsHuard, V. / Cacho, F. / Claramond, L. / Alves, P. / Dalkowski, W. / Jacquet, D. / Lecomte, S. / Tan, M. / Delemer, B. / Kamoun, A. et al. | 2013
- 3F.1.1
-
The impact of trench width and barrier thickness on scaling of the electromigration short - Length effect in Cu / low-k interconnectsOates, A. S. / Lin, M. H. et al. | 2013
- 3F.2.1
-
Short line electromigration characteristics and their applications for circuit designBaozhen Li, / Christiansen, C. / Burke, C. / Hogle, N. / Badami, D. et al. | 2013
- 3F.3.1
-
Statistical electrical and failure analysis of electromigration in advanced CMOS nodes for accurate design rules checkerParrassin, T. / Huard, V. / Federspiel, X. / Pion, E. / Ney, D. / Larre, P. / Croain, D. / Mishra, A. / Chevallier, R. / Bravaix, A. et al. | 2013
- 3F.4.1
-
Electromigration comparison of selective CVD cobalt capping with PVD Ta(N) and CVD cobalt liners on 22nm-groundrule dual-damascene Cu interconnectsSimon, A. H. / Bolom, T. / Niu, C. / Baumann, F. H. / Hu, C. / Parks, C. / Nag, J. / Kim, H. / Lee, J. Y. / Yang, C. et al. | 2013
- 4A.1.1
-
A built-in BTI monitor for long-term data collection in IBM microprocessorsPong-Fei Lu, / Jenkins, K. A. et al. | 2013
- 4A.2.1
-
Aging sensors for workload centric guardbanding in dynamic voltage scaling applicationsMin Chen, / Kufluoglu, H. / Carulli, J. / Reddy, V. et al. | 2013
- 4A.3.1
-
An array-based circuit for characterizing latent Plasma-Induced DamageWon Ho Choi, / Jain, P. / Kim, C. H. et al. | 2013
- 4A.4.1
-
Re-investigation of frequency dependence of PBTI/TDDB and its impact on fast switching logic circuitsHuang, Y.-C / Yew, T.-Y / Wang, W. / Lee, Y.-H / Shih, J. R. / Wu, K. et al. | 2013
- 4A.5.1
-
Duty-cycle shift under asymmetric BTI aging: A simple characterization method and its application to SRAM timingXiaofei Wang, / Keane, J. / Jain, P. / Reddy, V. / Kim, C. H. et al. | 2013
- 4A.6.1
-
Impact of dynamic variability on SRAM functionality and performance in nano-scaled CMOS technologiesSubirats, A. / Garros, X. / Mazurier, J. / El Husseini, J. / Rozeau, O. / Reimbold, G. / Faynot, O. / Ghibaudo, G. et al. | 2013
- 4B.1.1
-
Acceleration factor determination for potential-induced degradation in crystalline silicon PV modulesHacke, P. / Smith, R. / Terwilliger, K. / Glick, S. / Jordan, D. / Johnston, S. / Kempe, M. / Kurtz, S. et al. | 2013
- 4B.2.1
-
Fault localization and failure modes in microsystems-enabled photovoltaic devicesYang, B. B. / Cruz-Campa, J. L. / Haase, G. S. / Tangyunyong, P. / Cole, Edward I. / Pimentel, A. A. / Resnick, P. J. / Okandan, M. / Nielson, G. N. et al. | 2013
- 4B.3.1
-
Study of the effects of UV-exposure on dye-sensitized solar cellsBari, D. / Wrachien, N. / Meneghesso, G. / Andrea, C. / Tagliaferro, R. / Brown, T. M. / Reale, A. / Di Carlo, A. et al. | 2013
- 4B.4.1
-
Integration of new methods for photovoltaic module reliability performance characterizationBottenberg, W. R. et al. | 2013
- 4C.1.1
-
MOS interface engineering for high-mobility Ge CMOSTakenaka, M. / Rui Zhang, / Takagi, S. et al. | 2013
- 4C.2.1
-
HKMG process impact on N, P BTI: Role of thermal IL scaling, IL/HK integration and post HK nitridationJoshi, K. / Hung, S. / Mukhopadhyay, S. / Chaudhary, V. / Nanaware, N. / Rajamohnan, B. / Sato, T. / Bevan, M. / Wei, A. / Noori, A. et al. | 2013
- 4C.3.1
-
Physical origins of plasma damage and its process/gate area effects on high-k metal gate technologyLiao, P. J. / Liang, S. H. / Lin, H. Y. / Lee, J. H. / Lee, Y. / Shih, J. R. / Gao, S. H. / Liu, S. E. / Wu, K. et al. | 2013
- 4C.4.1
-
Intrinsic dielectric stack reliability of a high performance bulk planar 20nm replacement gate high-k metal gate technology and comparison to 28nm gate first high-k metal gate processMcMahon, W. / Tian, C. / Uppal, S. / Kothari, H. / Jin, M. / LaRosa, G. / Nigam, T. / Kerber, A. / Linder, B. P. / Cartier, E. et al. | 2013
- 4C.5.1
-
Intrinsic transistor reliability improvements from 22nm tri-gate technologyRamey, S. / Ashutosh, A. / Auth, C. / Clifford, J. / Hattendorf, M. / Hicks, J. / James, R. / Rahman, A. / Sharma, V. / St. Amour, A. et al. | 2013
- 5A.1.1
-
Models of oxygen vacancy defects involved in degradation of gate dielectricsShluger, A. L. / McKenna, K. P. et al. | 2013
- 5A.2.1
-
Characterization and optimization of charge trapping in high-k dielectricsCartier, E. / Ando, T. / Hopstaken, M. / Narayanan, V. / Krishnan, R. / Shepard, J. F. / Sullivan, M. D. / Krishnan, S. / Chudzik, M. P. / De, S. et al. | 2013
- 5A.3.1
-
The “buffering” role of high-к in post breakdown degradation immunity of advanced dual layer dielectric gate stacksRaghavan, N. / Padovani, A. / Wu, X. / Shubhakar, K. / Bosman, M. / Larcher, L. / Pey, K. L. et al. | 2013
- 5A.4.1
-
A new formulation of breakdown model for high-к/SiO2 stack dielectricsWu, E. Y. et al. | 2013
- 5A.5.1
-
Sub-threshold current based acceleration and modeling of OFF-state TDDB in drain extended NMOS and PMOS transistorsVarghese, D. / Venugopal, A. / Pan, S. / Krishnan, S. et al. | 2013
- 5A.6.1
-
Compact analytical models for the SET and RESET switching statistics of RRAM inspired in the cell-based percolation model of gate dielectric breakdownShibing Long, / Xiaojuan Lian, / Cagli, C. / Perniola, L. / Miranda, E. / Jimenez, D. / Hangbing Lv, / Qi Liu, / Ling Li, / Zongliang Huo, et al. | 2013
- 5B.1.1
-
Open localization on copper wirebond using Space Domain ReflectometryGaudestad, J. / Talanov, V. V. / Orozco, A. / Khai Ling Khoo, et al. | 2013
- 5B.2.1
-
Atomic-level study of TDDB mechanism of Hf-doped SiON gate dielectrics using Cs-corrected STEM and atom probe tomographyKudo, S. / Hirose, Y. / Funayama, K. / Ohgata, K. / Inoue, M. / Eguchi, K. / Nishida, A. / Asayama, K. / Hattori, N. / Koyama, T. et al. | 2013
- 5B.3.1
-
TOF-SIMS characterization of Boron and phosphorus distribution in sub-atmospheric chemical vapour deposition borophosphosilicate glass (SA-CVD BPSG) filmsFerlito, E. P. / Pizzo, G. / De Gregorio, R. / Anastasi, G. / Ricciari, R. / Mello, D. et al. | 2013
- 5B.4.1
-
Localization of electrical active defects caused by reliability-related failure mechanism by the application of Lock-in ThermographySchmidt, C. / Wadhwa, K. / Reverdy, A. / Reinders, E. et al. | 2013
- 5B.5.1
-
Building the electrical model of the pulsed photoelectric laser stimulation of an NMOS transistor in 90nm technologySarafianos, A. / Gagliano, O. / Serradeil, V. / Lisart, M. / Dutertre, J-M / Tria, A. et al. | 2013
- 5B.6.1
-
Electron temperature - The parameter to be extracted from backside spectral photon emissionGlowacki, A. / Boit, C. / Perdu, P. / Yokoyama, Y. et al. | 2013
- 5C.1.1
-
Experimental analyses of the mechanical reliability of advanced BEOL/fBEOL stacks regarding CPI loadingGeisler, H. / Schuchardt, E. / Brueckner, M. / Hofmann, P. / Machani, K. V. / Kuechenmeister, F. / Breuer, D. / Engelmann, H. et al. | 2013
- 5C.2.1
-
Delamination in BEOL: Analysis of interface failure by combined experimental & modeling approachesDebecker, B. / Vanstreels, K. / Gonzalez, M. / Vandevelde, B. et al. | 2013
- 5C.3.1
-
Accelerated stress testing methodology to risk assess silicon-package thermomechanical failure modes resulting from moisture exposure under use conditionRangaraj, S. / Daeil Kwon, / Min Pei, / Hicks, J. / Leatherman, G. / Lucero, A. / Wilson, T. / Streit, S. / Jun He, et al. | 2013
- 5C.4.1
-
Reliability characterization and FEM modeling of power devices under repetitive power pulsingPozzobon, F. / Paci, D. / Pizzo, G. / Buri, A. / Morin, S. / Carace, F. / Andreini, A. / Gastaldi, D. / Bertarelli, E. / Lucchini, R. et al. | 2013
- 5C.5.1
-
Impact of barrier integrity on liner reliability in 3D through silicon viasYunlong Li, / Civale, Y. / Oba, Y. / Cockburn, A. / Jin Hee Park, / Beyne, E. / De Wolf, I. / Croes, K. et al. | 2013
- 5C.6.1
-
Effect of TSV presence on FEOL yield and reliabilityKauerauf, T. / Branka, A. / Croes, K. / Redolfi, A. / Civale, Y. / Torregiani, C. / Groeseneken, G. / Beyne, E. et al. | 2013
- 5D.1.1
-
Self-heat reliability considerations on Intel's 22nm Tri-Gate technologyPrasad, C. / Jiang, L. / Singh, D. / Agostinelli, M. / Auth, C. / Bai, P. / Eiles, T. / Hicks, J. / Jan, C. H. / Mistry, K. et al. | 2013
- 5D.2.1
-
Bias temperature instability and hot carrier circuit ageing simulations specificities in UTBB FDSOI 28nm nodeAngot, D. / Huard, V. / Federspiel, X. / Cacho, F. / Bravaix, A. et al. | 2013
- 5D.3.1
-
Investigation of self-heating induced hot-carrier-injection stress behavior in high-voltage power devicesHuang, Y.-H / Leu, L. Y. / Liu, C. C. / Lee, Y.-H / Wang, J. S. / Mehta, A. / Wu, K. / Hui-Ting Lu, / Po-Chih Su, / Jui-Ping Chiang, et al. | 2013
- 5D.4.1
-
Channel hot-carriers degradation in MOSFETs: A conductive AFM study at the nanoscaleBayerl, A. / Porti, M. / Martin-Martinez, J. / Lanza, M. / Rodriguez, R. / Velayudhan, V. / Amat, E. / Nafria, M. / Aymerich, X. / Gonzalez, M. B. et al. | 2013
- 5E.1.1
-
Resistance instabilities in a filament-based resistive memoryChen, F. T. / Heng-Yuan Lee, / Yu-Sheng Chen, / Rahaman, S. Z. / Chen-Han Tsai, / Kan-Hsueh Tsai, / Tai-Yuan Wu, / Wei-Su Chen, / Pei-Yi Gu, / Yu-De Lin, et al. | 2013
- 5E.2.1
-
Investigation of the role of electrodes on the retention performance of HfOx based RRAM cells by experiments, atomistic simulations and device physical modelingTraore, B. / Xue, K. / Vianello, E. / Molas, G. / Blaise, P. / De Salvo, B. / Padovani, A. / Pirrotta, O. / Larcher, L. / Fonseca, L. R. C. et al. | 2013
- 5E.3.1
-
Microscopic origin of random telegraph noise fluctuations in aggressively scaled RRAM and its impact on read disturb variabilityRaghavan, N. / Degraeve, R. / Fantini, A. / Goux, L. / Strangio, S. / Govoreanu, B. / Wouters, D. J. / Groeseneken, G. / Jurczak, M. et al. | 2013
- 5E.4.1
-
Investigation of the impact of the oxide thickness and RESET conditions on disturb in HfO2-RRAM integrated in a 65nm CMOS technologyDiokh, T. / Le-Roux, E. / Jeannot, S. / Gros-Jean, M. / Candelier, P. / Nodin, J. F. / Jousseaume, V. / Perniola, L. / Grampeix, H. / Cabout, T. et al. | 2013
- 6A.1.1
-
Reliable micro-electro-mechanical (MEM) switch design for ultra-low-power logicHei Kam, / Yenhao Chen, / Tsu-Jae King Liu, et al. | 2013
- 6A.2.1
-
Reliability of graphene interconnects and n-type doping of carbon nanotube transistorsLiyanage, L. S. / Xiangyu Chen, / Hai Wei, / Hong-Yu Chen, / Mitra, S. / Wong, H.-S Philip et al. | 2013
- 6A.3.1
-
Tunnel transistors for energy efficient computingDatta, S. / Bijesh, R. / Liu, H. / Mohata, D. / Narayanan, V. et al. | 2013
- 6B.1.1
-
Wafer-level MEMS package and its reliability issuesTanaka, S. / Esashi, M. et al. | 2013
- 6B.2.1
-
Key improvements of the MEMS switch lifetime thanks to a dielectric-free design and contact reliability investigations in hot/cold switching operationsSouchon, F. / Reig, B. / Dieppedale, C. / Thouy, L. / Koszewski, A. / Sibuet, H. / Papaioannou, G. et al. | 2013
- 6B.3.1
-
Electrical characterization of undoped diamond films for RF MEMS applicationMichalas, L. / Koutsoureli, M. / Papandreou, E. / Papaioannou, G. / Saada, S. / Mer, C. / Hugon, R. / Bergonzo, P. / Leuliet, A. / Martins, P. et al. | 2013
- 6B.4.1
-
Characterization of dielectric charging and reliability in capacitive RF MEMS switchesSangchae Kim, / Cunningham, S. / McKillop, J. / Morris, A. et al. | 2013
- 6C.1.1
-
Space radiation and reliability qualifications on 65nm CMOS 600MHz microprocessorsClerc, S. / Abouzeid, F. / Gasiot, G. / Daveau, J-M / Bottoni, C. / Glorieux, M. / Autran, J-L / Cacho, F. / Huard, V. / Dugoujon, L. et al. | 2013
- 6C.2.1
-
Identification of pulse quenching enhanced layouts with subbandgap laser-induced single-event effectsAhlbin, J. R. / Hooten, N. C. / Gadlage, M. J. / Warner, J. H. / Buchner, S. P. / McMorrow, D. / Massengill, L. W. et al. | 2013
- 6C.3.1
-
Impact of cell distance and well-contact density on neutron-induced Multiple Cell UpsetsFuruta, J. / Kobayashi, K. / Onodera, H. et al. | 2013
- 6C.4.1
-
Impact of parasitic bipolar action and soft-error trend in bulk CMOS at terrestrial environmentUemura, T. / Kato, T. / Matsuyama, H. et al. | 2013
- BD.1.1
-
Degradation in TDDB of Cu/low-k test structures due to field interaction between adjacent metal linesOng, R. X. / Gan, C. L. / Tan, T. L. et al. | 2013
- BD.3.1
-
Effects of BEOL copper CMP process on TDDB for direct polishing ultra-low k dielectric cu interconnects at 28nm technology node and beyondHsieh, Y. L. / Lin, W. C. / Lin, Y. M. / Hsu, H. K. / Chen, C. H. / Tsao, W. C. / Hsu, C. W. / Huang, R. P. / Lin, C. H. / Su, Y. H. et al. | 2013
- BD.4.1
-
Trap spectroscopy and Ta penetration induced charge trapping in porous SiOCH low-k dielectricsYunlong Li, / Chen Wu, / Degraeve, R. / Croes, K. / Barbarin, Y. / Baklanov, M. R. / Tokei, Z. et al. | 2013
- CD.1.1
-
Similarities of lags, current collapse and breakdown characteristics between source and gate field-plate AlGaN/GaN HEMTsHanawa, H. / Onodera, H. / Nakajima, A. / Horio, K. et al. | 2013
- CD.2.1
-
Fast transient thermoreflectance CCD imaging of pulsed self heating in AlGaN/GaN power transistorsMaize, K. / Heller, E. / Dorsey, D. / Shakouri, A. et al. | 2013
- CD.3.1
-
Single image spectral electroluminescence (photon emission) of GaN HEMTsScholz, P. / Glowacki, A. / Kerst, U. / Boit, C. / Ivo, P. / Lossy, R. / Wurfl, H.-J / Yokoyama, Y. et al. | 2013
- CM.1.1
-
Ring oscillator reliability model to hardware correlation in 45nm SOIVan Dam, C. / Hauser, M. et al. | 2013
- CM.2.1
-
Foundations for oxide breakdown compact modeling towards circuit-level simulationsSaliva, M. / Cacho, F. / Angot, D. / Huard, V. / Rafik, M. / Bravaix, A. / Anghel, L. et al. | 2013
- CM.3.1
-
Logarithmic modeling of BTI under dynamic circuit operation: Static, dynamic and long-term predictionVelamala, J. B. / Sutaria, K. B. / Shimuzu, H. / Awano, H. / Sato, T. / Wirth, G. / Yu Cao, et al. | 2013
- CM.4.1
-
Universal properties and compact modeling of dynamic hot-electron degradation in n-MOSFETsTanoue, H. / Tanaka, A. / Oodate, Y. / Nakahagi, T. / Ma, C. / Miyake, M. / Mattausch, H. J. / Miura-Mattausch, M. / Matsuzawa, K. / Yamaguchi, S. et al. | 2013
- CP.1.1
-
Reliability of Dual Damascene TSV for high density integration: The electromigration issueMoreau, S. / Bouchu, D. et al. | 2013
- CP.2.1
-
Effects of Bosch scallops on metal layer stress of an open Through Silicon Via technologySingulani, A. P. / Ceric, H. / Langer, E. / Carniello, S. et al. | 2013
- CP.3.1
-
Suppression of bond degradation in power IC's: Impact of bond pad design and wafer & package fab processesYuan Li, / Hui Xie, / Olthof, E. / Nath, S. et al. | 2013
- CR.1.1
-
Investigation of single-trap-induced random telegraph noise for tunnel FET based devices, 8T SRAM cell, and sense amplifiersMing-Long Fan, / Hu, Vita Pi-Ho / Yin-Nien Chen, / Pin Su, / Ching-Te Chuang, et al. | 2013
- CR.2.1
-
The internal circuit damage of a high-voltage product during the negative-current-triggered (NCT) latch-up testJian-Hsing Lee, / Kung, C. / Kung, E. / Dao-Hong Yang, / Shih, J. R. et al. | 2013
- EL.1.1
-
Achieving electrothermal stability in interconnect metal during ESD pulsesMaloney, T. J. / Lei Jiang, / Poon, S. S. / Kolluru, K. B. et al. | 2013
- EL.2.1
-
Resistor-less power-rail ESD clamp circuit with ultra-low leakage current in 65nm CMOS processChih-Ting Yeh, / Ming-Dou Ker, et al. | 2013
- EL.3.1
-
ESD protection design with adjustable snapback behavior for 5-V application in 100nm CMOS processChang-Tzu Wang, / Yu-Chun Chen, / Tien-Hao Tang, / Kuan-Cheng Su, et al. | 2013
- EL.4.1
-
Novel drain-less multi-gate pHEMT for electrostatic discharge (ESD) protection in GaAs technologyQiang Cui, / Liou, J. J. et al. | 2013
- EL.5.1
-
A low leakage poly-gated SCR device for ESD protection in 65nm CMOS processParthasarathy, S. / Salcedo, J. A. / Hajjar, Jean-Jacques et al. | 2013
- EL.6.1
-
Bias Temperature Stress (BTS) induced ESD device's leakage issue and Its preventing solutions in smart power technologyChien-Fu Huang, / Yi-Feng Chang, / Shui-Ming Cheng, / Ming-Hsiang Song, et al. | 2013
- EM.1.1
-
Early failure model analysis and improvement of the upstream electromigration in 45nm Cu low-k interconnectsWang, D. / Zhao, A. Y. / Yu, L. / Wu, J. / Chang, V. / Chien, Wei-Ting Kary et al. | 2013
- EM.2.1
-
Electromigration in advanced Bond Pad structuresKi-Don Lee, et al. | 2013
- EM.3.1
-
Evaluation of constant voltage testing for electromigration studyZhang, Z. / McGowan, B. T. / Feldmaier, Z. / Lloyd, J. R. / McMullen, T. / Wilcox, E. / Schultz, S. et al. | 2013
- EM.4.1
-
Determination of Cu-line EM Lifetime Criteria Using Physically Based TCAD simulationsMankoo Lee, / Pramanik, D. / Yong-Seog Oh, / Zudian Qin, / Avci, I. / Simeonov, S. / El Sayed, K. / Balasingam, P. et al. | 2013
- EM.5.1
-
Electromigration reliability of Mn-doped Cu interconnects for the 28 nm technologyLinjun Cao, / Ho, P. S. / Justison, P. et al. | 2013
- ER.1.1
-
Chip EOS issue analysis in board-level applicationHuang Wenke, / Guo Fujun, et al. | 2013
- ER.2.1
-
memFET: From gate dielectric breakdown to system reconfigurabilityMartin-Martinez, J. / Crespo-Yepes, A. / Rodriguez, R. / Nafria, M. / Almudever, C. G. / Rubio, A. et al. | 2013
- ER.3.1
-
Models and methods for determining storage reliabilityMense, A. T. / Gullo, L. / Thomas, J. / Shedlock, P. et al. | 2013
- FA.1.1
-
Failure recovery mechanism caused by secondary defectKarki, S. / Nguyen, D. et al. | 2013
- GD.1.1
-
New insight on high-k/metal gate reliability modeling for providing guidelines for process developmentRafik, M. / Ribes, G. / Mora, P. / Blonkowski, S. / Federspiel, X. / Caubet, P. / Gaumer, C. / Grosjean, M. / Roy, D. et al. | 2013
- GD.2.1
-
On the meaning of charge pumping curve edgesBauza, D. et al. | 2013
- GD.3.1
-
Reliability in gate first and gate last ultra-thin-EOT gate stacks assessed with CV-eMSM BTI characterizationBury, E. / Kaczer, B. / Arimura, H. / Luque, M. T. / Ragnarsson, L. A. / Roussel, P. / Veloso, A. / Chew, S. A. / Togo, M. / Schram, T. et al. | 2013
- GD.5.1
-
Demonstrating distribution of SILC values at individual leakage spotsInatsuka, T. / Kuroda, R. / Teramoto, A. / Kumagai, Y. / Sugawa, S. / Ohmi, T. et al. | 2013
- ME.1.1
-
Estimating the detection stability of a Si nanowire sensor using an additional charging electrodeMin-Cheng Chen, / Hsiao-Chien Chen, / Ta-Hsien Lee, / Yu-Hsien Lin, / Jyun-Hung Shih, / Bo-Wei Wang, / Yun-Fang Hou, / Yi-Ju Chen, / Chia-Yi Lin, / Chang-Hsien Lin, et al. | 2013
- ME.2.1
-
Morphological analysis of GaN membranes obtained by micromachining of GaN/SiCismaru, A. / Muller, A. / Comanescu, F. / Purica, M. / Stefanescu, A. / Dinescu, A. / Konstantinidis, G. / Stavrinidis, A. et al. | 2013
- ME.3.1
-
Spectroscopic analysis of material transfer phenomena in MEMS switchesPeschot, A. / Poulain, C. / Sibuet, H. / Souchon, F. / Bonifaci, N. / Lesaint, O. et al. | 2013
- MY.1.1
-
The effect of hydrogen on program disturbance in sub-2ynm Nand flashJaewook Yang, / Wonhyo Cha, / Shinwon Seo, / Haesoon Oh, / Jeongseob Oh, / Hyunyoung Shim, / Sekyoung Choi, / Byung-Kook Kim, / Seokwon Cho, / Kiseog Kim, et al. | 2013
- MY.2.1
-
Statistical assessment of endurance degradation in high and low resistive states of the HfO2-based RRAMDeora, S. / Bersuker, G. / Sung, M. G. / Gilmer, D. C. / Kirsch, P. D. / Li, H.-F / Chong, H. / Gausepohl, S. et al. | 2013
- MY.3.1
-
The physical insights into an abnormal erratic behavior in the resistance random access memoryHuang, Y. J. / Chung, S. S. / Lee, H. Y. / Chen, Y. S. / Chen, F. T. / Gu, P. Y. / Tsai, M.-J et al. | 2013
- MY.4.1
-
Program/erase speed, endurance, retention, and disturbance characteristics of single-poly embedded flash cellsSeung-Hwan Song, / Jongyeon Kim, / Kim, C. H. et al. | 2013
- MY.5.1
-
Reliable, low-power super-lattice phase-change memory without melting and write-pulse down slopeJohguchi, K. / Egami, T. / Takeuchi, K. et al. | 2013
- MY.6.1
-
Instability study of high-κ Inter-Gate Dielectric stacks on hybrid floating gate flash memoryZahid, M. B. / Degraeve, R. / Breuil, L. / Van Den Bosch, G. / Van Houdt, J. et al. | 2013
- MY.7.1
-
Investigation of data retention window closure on logic embedded non-volatile memoryLiao, Y. Y. / Tsai, L. Y. / Leu, L. Y. / Lee, Y.-H / Wang, W. / Wu, K. et al. | 2013
- MY.8.1
-
Reliability study of carbon-doped GST stack robust against Pb-free soldering reflowSouiki, S. / Hubert, Q. / Navarro, G. / Persico, A. / Jahan, C. / Henaff, E. / Delaye, V. / Blachier, D. / Sousa, V. / Perniola, L. et al. | 2013
- MY.9.1
-
Electrical performances of SiO2-doped GeTe for phase-change memory applicationsNavarro, G. / Persico, A. / Henaff, E. / Aussenac, F. / Noe, P. / Jahan, C. / Perniola, L. / Sousa, V. / Vianello, E. / De Salvo, B. et al. | 2013
- MY.10.1
-
Random telegraph noise (RTN) in scaled RRAM devicesVeksler, D. / Bersuker, G. / Vandelli, L. / Padovani, A. / Larcher, L. / Muraviev, A. / Chakrabarti, B. / Vogel, E. / Gilmer, D. C. / Kirsch, P. D. et al. | 2013
- MY.11.1
-
180nm FRAM reliability demonstration with ten years data retention at 125°CRodriguez, J. / Rodriguez-Latorre, J. / Zhou, C. / Venugopal, A. / Acosta, A. / Ball, M. / Ndai, P. / Madan, S. / McAdams, H. / Udayakumar, K. R. et al. | 2013
- MY.12.1
-
Drain stress influence on read disturb defectivityDe Tomasi, M. / Vaion, R. E. / Cola, L. / Zabberoni, P. / Mervic, A. et al. | 2013
- PI.1.1
-
New electrical testing structures and analysis method for MOL and BEOL process diagnostics and TDDB reliability assessmentFen Chen, / Mittl, S. / Shinosky, M. / Dufresne, R. / Aitken, J. / Yanfeng Wang, / Kolvenback, K. / Henson, W. K. / Mocuta, D. et al. | 2013
- PI.2.1
-
Reliability studies of a 22nm SoC platform technology featuring 3-D tri-gate, optimized for ultra low power, high performance and high density applicationRahman, A. / Bai, P. / Curello, G. / Hicks, J. / Jan, C.-H / Jamil, M. / Park, J. / Phoa, K. / Rahman, M. S. / Tsai, C. et al. | 2013
- PI.3.1
-
Impact of hydrogen in capping layers on BTI degradation and recovery in high-κ replacement metal gate transistorsJin, M. / Tian, C. E. / La Rosa, G. / Uppal, S. / Mcmahon, W. / Kothari, H. / Liu, Y. / Cartier, E. / Lai, W. L. / Dasgupta, A. et al. | 2013
- PR.1.1
-
Product-Level Reliability Estimator with advanced CMOS technologyJae-Gyung Ahn, / Ming Feng Lu, / Ping-Ching Yeh, / Chang, J. / Xin Wu, / Pai, S. Y. et al. | 2013
- SE.1.1
-
Modeling of radiation-induced single event transients in SOI FinFETSArtola, L. / Hubert, G. / Schrimpf, R. D. et al. | 2013
- SE.2.1
-
Neutron-induced single-event-transient effects in ultrathin-body fully-depleted silicon-on-insulator MOSFETsJinshun Bi, / Reed, R. A. / Schrimpf, R. D. / Fleetwood, D. M. / Zhengsheng Han, et al. | 2013
- SE.3.1
-
Single-event transient measurement on a DC/DC PWM controller using Pulsed X-ray techniqueRen, Y. / Chen, L. / Shi, S. / Guo, G. / Feng, R. / Wen, S. / Wong, R. / van Vonno, N. / Bhuva, B. L. et al. | 2013
- SE.4.1
-
A comprehensive soft error analysis tool for core networking systemHaihong Zhu, / Wong, R. / Shijie Wen, et al. | 2013
- SE.5.1
-
Contributions of charge sharing and bipolar effects to cause or suppress MCUs on redundant latchesKuiyuan Zhang, / Kobayashi, K. et al. | 2013
- SE.6.1
-
Estimation of hardened flip-flop neutron soft error rates using SRAM multiple-cell upset data in bulk CMOSGaspard, N. / Jagannathan, S. / Diggins, Z. / McCurdy, M. / Loveless, T. D. / Bhuva, B. L. / Massengill, L. W. / Holman, W. T. / Oates, T. S. / Fang, Y.-P et al. | 2013
- SE.7.1
-
Effect of threshold voltage implants on single-event error rates of D flip-flops in 28-nm bulk CMOSGaspard, N. / Jagannathan, S. / Diggins, Z. / Kauppila, A. V. / Loveless, T. D. / Kauppila, J. S. / Bhuva, B. L. / Massengill, L. W. / Holman, W. T. / Oates, A. S. et al. | 2013
- SE.8.1
-
Length and fin number dependence of ionizing radiation-induced degradation in bulk FinFETsChatterjee, I. / Zhang, E. X. / Bhuva, B. L. / Fleetwood, D. M. / Fang, Y.-P / Oates, A. et al. | 2013
- XT.1.1
-
New Hot Carrier degradation modeling reconsidering the role of EES in ultra short N-channel MOSFETsRandriamihaja, Y. M. / Federspiel, X. / Huard, V. / Bravaix, A. / Palestri, P. et al. | 2013
- XT.2.1
-
A comprehensive AC / DC NBTI model: Stress, recovery, frequency, duty cycle and process dependenceDesai, S. / Mukhopadhyay, S. / Goel, N. / Nanaware, N. / Jose, B. / Joshi, K. / Mahapatra, S. et al. | 2013
- XT.3.1
-
Multi-region DCIV spectroscopy and impacts on the design of STI-based LDMOSFETsYandong He, / Ganggang Zhang, / Lin Han, / Xing Zhang, et al. | 2013
- XT.4.1
-
Recovery behavior in negative bias temperature instabilityYonamoto, Y. et al. | 2013
- XT.5.1
-
Investigation of stochastic implementation of reaction diffusion (RD) models for NBTI related interface trap generationNaphade, T. / Goel, N. / Nair, P. R. / Mahapatra, S. et al. | 2013
- XT.6.1
-
A test structure and spectroscopic method for monitoring interface trapsChao Wei, / Yandong He, / Gang Du, / Ganggang Zhang, / Xing Zhang, et al. | 2013
- XT.7.1
-
The physical mechanisms of IG Random Telegraph Noise in deeply scaled pMOSFETsJi, X. / Liao, Y. / Zhu, C. / Chang, J. / Yan, F. / Shi, Y. / Guo, Q. et al. | 2013
- XT.8.1
-
Effects of positive and negative constant voltage stress on organic TFTsWrachien, N. / Cester, A. / Bari, D. / Meneghesso, G. / Kovac, J. / Jakabovic, J. / Weis, M. / Donoval, D. et al. | 2013
- XT.9.1
-
Interaction between BTI and HCI degradation in High-K devicesFederspiel, X. / Rafik, M. / Angot, D. / Cacho, F. / Roy, D. et al. | 2013
- XT.10.1
-
Detrimental impact of hydrogen passivation on NBTI and HC degradationPobegen, G. / Nelhiebel, M. / Grasser, T. et al. | 2013
- XT.11.1
-
The study of time constant analysis in random telegraph noise at the subthreshold voltage regionYonezawa, A. / Teramoto, A. / Obara, T. / Kuroda, R. / Sugawa, S. / Ohmi, T. et al. | 2013