A 2GS/s 11b 8x Interleaved ADC with 9.2 ENOB and 69.9dB SFDR in 28nm CMOS (Englisch)
- Neue Suche nach: Ricci, Luca
- Neue Suche nach: Scaletti, Lorenzo
- Neue Suche nach: Be, Gabriele
- Neue Suche nach: Rocco, Michele
- Neue Suche nach: Bertulessi, Luca
- Neue Suche nach: Levantino, Salvatore
- Neue Suche nach: Lacaita, Andrea
- Neue Suche nach: Samori, Carlo
- Neue Suche nach: Bonfanti, Andrea
- Neue Suche nach: Ricci, Luca
- Neue Suche nach: Scaletti, Lorenzo
- Neue Suche nach: Be, Gabriele
- Neue Suche nach: Rocco, Michele
- Neue Suche nach: Bertulessi, Luca
- Neue Suche nach: Levantino, Salvatore
- Neue Suche nach: Lacaita, Andrea
- Neue Suche nach: Samori, Carlo
- Neue Suche nach: Bonfanti, Andrea
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:A 2GS/s 11b 8x Interleaved ADC with 9.2 ENOB and 69.9dB SFDR in 28nm CMOS
-
Beteiligte:Ricci, Luca ( Autor:in ) / Scaletti, Lorenzo ( Autor:in ) / Be, Gabriele ( Autor:in ) / Rocco, Michele ( Autor:in ) / Bertulessi, Luca ( Autor:in ) / Levantino, Salvatore ( Autor:in ) / Lacaita, Andrea ( Autor:in ) / Samori, Carlo ( Autor:in ) / Bonfanti, Andrea ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:11.06.2023
-
Format / Umfang:660760 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Integration of epitaxial monolayer MX₂ channels on 300mm wafers via Collective-Die-To-Wafer (CoD2W) transferGhosh, S. / Smets, Q. / Banerjee, S. / Schram, T. / Kennes, K. / Verheyen, R. / Kumar, P. / Boulon, M.-E. / Groven, B. / Silva, H. M. et al. | 2023
- 1
-
Visual Content-Agnostic Novelty Detection Engine with 2.4 pJ/pixel Energy and Two-Order of Magnitude DNN Activity Reduction in 40 nmGupta, Animesh / Kumar, Sayan / Konandur, Viveka / Taneja, Sachin / Alioto, Massimo et al. | 2023
- 1
-
Ultra-high Tunneling Electroresistance Ratio (2 × 104) & Endurance (108) in Oxide Semiconductor-Hafnia Self-rectifying (1.5 × 103) Ferroelectric Tunnel JunctionHwang, Junghyeon / Kim, Chaeheon / Shin, Hunbeom / Kim, Hwayoung / Park, Sang-Hee Ko / Jeon, Sanghun et al. | 2023
- 1
-
First Observation of Ultra-high Polarization (~ 108 μC/cm²) in Nanometer Scaled High Performance Ferroelectric HZO Capacitors with Mo ElectrodesHuang, F. / Saini, B. / Wan, L. / Lu, H. / He, X. / Qin, S. / Tsai, W. / Gruverman, A. / Meng, A. C. / Wong, H.-S. P. et al. | 2023
- 1
-
A 50Gb/s DAC-Based Multicarrier Polar Transmitter in 22nm FinFETYi, Il-Min / Kaile, Srujan Kumar / Zhu, Yuanming / Diaz, Julian Camilo Gomez / Hoyos, Sebastian / Palermo, Samuel et al. | 2023
- 1
-
A 4.24GHz 128X256 SRAM Operating Double Pump Read Write Same Cycle in 5nm TechnologyZhang, Nick / Kim, Young Suk / Hsu, Peter / Kim, Samsoo / Tao, Derek / Liao, Hung-Jen / Wang, P.W. / Yeap, Geoffrey / Li, Quincy / Chang, Tsung-Yung Jonathan et al. | 2023
- 1
-
A 24-30 GHz Cascaded QPLL Achieving 56.8-fs RMS Jitter and −248.6-dB FoMjitterWang, Li / Liu, Zilu / Yue, C. Patrick et al. | 2023
- 1
-
Ongoing Evolution of DRAM Scaling via Third Dimension -Vertically Stacked DRAM -Han, J.W. / Park, S.H. / Jeong, M.Y. / Lee, K.S. / Kim, K.N. / Kim, H.J. / Shin, J.C. / Park, S.M. / Shin, S.H. / Park, S.W. et al. | 2023
- 1
-
A 3-320 fJ/conv.step Continuous Time Level Crossing ADC with Dynamic Self-Biasing Comparators Achieving 61.4 dB-SNDRTimmermans, Martijn / Fattori, Marco / Harpe, Pieter / Liu, Yao-Hong / Cantatore, Eugenio et al. | 2023
- 1
-
Ultrathin Atomic-Layer-Deposited In2O3 Radio-Frequency Transistors with Record High fT of 36 GHz and BEOL CompatibilityZheng, Dongqi / Charnas, Adam / Lin, Jian-Yu / Anderson, Jackson / Weinstein, Dana / Ye, Peide D. et al. | 2023
- 1
-
A Six-Word Story on the Future of VLSI: AI-driven, Software-defined, and Uncomfortably ExcitingRanganathan, Parthasarathy et al. | 2023
- 1
-
A Fingertip-Mimicking 12×16 200μm-Resolution e-skin Taxel Readout Chip with per-Taxel Spiking Readout and Embedded Receptive Field ProcessingAlea, Mark Daniel / Safa, Ali / Giacomozzi, Flavio / Adami, Andrea / Temel, Inci Ruya / Lorenzelli, Leandro / Gielen, Georges et al. | 2023
- 1
-
First Demonstration of Work Function-Engineered BEOL-Compatible IGZO Non-Volatile MFMIS AFeFETs and Their Co-Integration with Volatile-AFeFETsZheng, Zijie / Jiao, Leming / Zhou, Zuopu / Wang, Yuxuan / Liu, Long / Han, Kaizhen / Sun, Chen / Kong, Qiwen / Zhang, Dong / Wang, Xiaolin et al. | 2023
- 1
-
A 26.4mW, 18.6MS/s Image Reconstruction Processor for IoT Compressive SensingLin, Yu-Cheng / Park, Chanmin / Zhao, Wenda / Sun, Nan / Chae, Youngcheol / Yang, Chia-Hsiang et al. | 2023
- 1
-
A 112-Gb/s 58-mW PAM4 Transmitter in 28-nm CMOS TechnologyForghani, Mahdi / Zhao, Yu / Khanna, Pawan K. / Razavi, Behzad et al. | 2023
- 1
-
High Performance 5 nm Si Nanowire FETs with a Record Small SS = 2.3 mV/dec and High Transconductance at 5.5 K Enabled by Dopant Segregated Silicide Source/DrainHan, Yi / Sun, Jingxuan / Bae, Jin-Hee / Grutzmacher, Detlev / Knoch, Joachim / Zhao, Qing-Tai et al. | 2023
- 1
-
A Wireless Neural Stimulator IC for Cortical Visual ProsthesisLee, Jungho / Letner, Joseph / Lim, Jongyup / Sun, Yi / Jeong, Seokhyeon / Kim, Yejoong / Koo, Beomseo / Atzeni, Gabriele / Liao, Jiawei / Richie, Julianna et al. | 2023
- 1
-
Cold-FeFET as Embedded Non-Volatile Memory with Unlimited Cycling EnduranceKirtania, Sharadindu Gopal / Aabrar, Khandker Akif / Khan, Asif I. / Yu, Shimeng / Datta, S. et al. | 2023
- 1
-
Contact Cavity Shaping and Selective SiGe:B Low-Temperature Epitaxy Process Solution for sub 10-9 Ω.cm2 Contact Resistivity in Nonplanar FETsBreil, N. / Lee, B-C. / Avendano, J. Avila / Jewell, J. / Vellaikal, M. / Newman, E. / Bazizi, E. M. / Pal, A. / Liu, L. / Gluschenkov, O. et al. | 2023
- 1
-
A 93.5%-Efficiency 13.56-MHz-Bandwidth Optimal On/Off Tracking Active Rectifier with Fully Digital Feedback-Based Delay Control for Adaptive Efficiency CompensationAhn, Jisan / Lee, Hyun-Su / Eom, Kyeongho / Jung, Woojoong / Lee, Hyung-Min et al. | 2023
- 1
-
Multi-Chiplet Heterogeneous Integration Packaging for Semiconductor System ScalingBhattacharya, Surya / Rao, Vempati Srinivasa et al. | 2023
- 1
-
NeRPIM: A 4.2 mJ/frame Neural Rendering Processing-in-memory Processor with Space Encoding Block-wise Mapping for Mobile DevicesJo, Wooyoung / Kim, Sangjin / Lee, Juhyoung / Han, Donghyeon / Kim, Sangyeob / Choi, Seungyoon / Yoo, Hoi-Jun et al. | 2023
- 1
-
High Bit Cost Scalability and Reliable Cell Characteristics for 7th Generation 1Tb 4Bit/Cell 3D-NAND FlashKim, Kyungmoon / Seo, Yujeong / Park, Sejun / Jang, Woojae / Yoo, Dongho / Lim, Joonsung / Park, Il-Han / Lee, Jaeduk / Noh, Kyungyoon / Ahn, Sujin et al. | 2023
- 1
-
A 60fps9.9nJ/frame·pixel CMOS Image Sensor with On-Chip Pixel-wise Conversion Gain Modulation for Per-frame Adaptive DCG-HDR ImagingLuo, Yi / Mirabbasi, Shahriar et al. | 2023
- 1
-
Long-time-constant leaky-integrating oxygen-vacancy drift-diffusion FET for human-interactive spiking reservoir computingInoue, Hisashi / Tamura, Hiroto / Kitoh, Ai / Chen, Xiangyu / Byambadorj, Zolboo / Yajima, Takeaki / Hotta, Yasushi / Iizuka, Tetsuya / Tanaka, Gouhei / Inoue, Isao H. et al. | 2023
- 1
-
Record Transconductance in Leff~30 nm Self-Aligned Replacement Gate ETSOI nFETs Using Low EOT Negative Capacitance HfO2-ZrO2 Superlattice Gate StackWang, L.-C. / Li, W. / Shanker, N. / Cheema, S. S. / Hsu, S.-L. / Volkman, S. / Sikder, U. / Garg, C. / Park, J.-H. / Liao, Y.-H. et al. | 2023
- 1
-
Grain Size Reduction of Ferroelectric HZO Enabled by a Novel Solid Phase Epitaxy (SPE) Approach: Working Principle, Experimental Demonstration, and Theoretical UnderstandingZhang, Dong / Wu, Jixuan / Kong, Qiwen / Zhou, Zuopu / Liu, Long / Han, Kaizhen / Sun, Chen / Wang, Xiaolin / Liu, Gan / Jiao, Leming et al. | 2023
- 1
-
A Reconfigurable Analog FIR Filter Achieving −70dB Rejection with Sharp Transition for Narrowband ReceiversTseng, Chien-Wei / Feng, Zhen / Fan, Zichen / An, Hyochan / Wang, Yunfan / Kim, Hun-Seok / Blaauw, David et al. | 2023
- 1
-
Towards Epitaxial Ferroelectric HZO on n+-Si/Ge Substrates Achieving Record 2Pr = 84 μC/cm² and Endurance > 1E11Zhao, Zefu / Chen, Yu-Rui / Chen, Yun-Wen / Hsieh, Wan-Hsuan / Wang, Jer-Fu / Lee, Jia-Yang / Xing, Yifan / Chen, Guan-Hua / Liu, C. W. et al. | 2023
- 1
-
A Static Contention-Free Dual-Edge-Triggered Flip-Flop with Redundant Internal Node Transition Elimination for Ultra-Low-Power ApplicationsKim, Sekeon / Cho, Keonhee / Baek, Kyeongrim / Kim, Hyunjun / Bae, Younmee / Kim, Mijung / Seo, Dongwook / Baeck, Sangyeop / Lee, Sungjae / Jung, Seong-Ook et al. | 2023
- 1
-
A Sub-500fJ/bit 3D Direct Bond Silicon Photonic Transceiver in 12nm FinFETChang, Po-Hsuan / Samanta, Anirban / Yan, Peng / Fu, Mingye / Zhang, Yu / On, Mehmet Berkay / Kumar, Ankur / Kang, Hyungryul / Yi, Il-Min / Annabattuni, Dedeepya et al. | 2023
- 1
-
A back-illuminated 6 μm SPAD depth sensor with PDE 36.5% at 940 nm via combination of dual diffraction structure and 2×2 on-chip lensFujisaki, Y. / Tsugawa, H. / Sakai, K. / Kumagai, H. / Nakamura, R. / Ogita, T. / Endo, S. / Iwase, T. / Takase, H. / Yokochi, K. et al. | 2023
- 1
-
Pianissimo: A Sub-mW Class DNN Accelerator with Progressive Bit-by-Bit Datapath Architecture for Adaptive Inference at EdgeSuzuki, Junnosuke / Yu, Jaehoon / Yasunaga, Mari / Garcia-Arias, Angel Lopez / Okoshi, Yasuyuki / Kumazawa, Shungo / Ando, Kota / Kawamura, Kazushi / Chu, Thiem Van / Motomura, Masato et al. | 2023
- 1
-
A 5.6-89.9TOPS/W Heterogeneous Computing-in-Memory SoC with High-Utilization Producer-Consumer Architecture and High-Frequency Read-Free CIM MacroYue, Jinshan / Zhan, Mingtao / Wang, Zi / He, Yifan / Li, Yaolei / Yu, Songming / Sun, Wenyu / Jie, Lu / Dou, Chunmeng / Li, Xueqing et al. | 2023
- 1
-
Structural Reliability and Performance Analysis of Backside PDNKim, Sunghwan / Kim, Geun-Myeong / Kim, Seong-Nam / Ahn, Saetbyeol / Kim, Yoon-Suk / Jang, Inkook / Lee, Kyoung-Woo / Kim, Dae Sin et al. | 2023
- 1
-
A 0.190-pJ/bit 25.2-Gb/s/wire Inverter-Based AC-Coupled Transceiver for Short-Reach Die-to-Die Interfaces in 5-nm CMOSNishi, Yoshinori / Poulton, John W. / Chen, Xi / Song, Sanquan / Zimmer, Brian / Turner, Walker J. / Tell, Stephen G. / Nedovic, Nikola / Wilson, John M. / Dally, William J. et al. | 2023
- 1
-
A 122fsrms-Jitter and −60dBc-Reference-Spur 12.24GHz MDLL with a 102 - Multiplication Factor Using a Power-Gating TechniqueCho, Yoonseo / Lee, Jeonghyun / Park, Suneui / Yoo, Seyeon / Choi, Jaehyouk et al. | 2023
- 1
-
A 14nm 128Mb Embedded MRAM Macro achieved the Best Figure-Of-Merit with 80MHz Read operation and 18.1Mb/mm² implementation at 0.64VKang, Gyuseong / Shin, Hyunjin / Jung, Hyuntaek / Lee, Sunkyu / Choi, Jaeseung / Baek, Sangyeop / Jung, Hyunsung / Kim, Daeshik / Hwang, Sohee / Han, Shinhee et al. | 2023
- 1
-
Human Activity Recognition SoC for AR/VR with Integrated Neural Sensing, AI Classifier and Chained Infrared Communication for Multi-chip CollaborationWei, Yijie / Chen, Xi / Gu, Jie et al. | 2023
- 1
-
Highly Scalable Metal Induced Lateral Crystallization (MILC) Techniques for Vertical Si Channel in Ultra-High (> 300 Layers) 3D Flash MemoryIshihara, N. / Shimada, Y. / Ochi, T. / Seto, S. / Matsuo, H. / Yamashita, H. / Morita, S. / Ukishima, M. / Uejima, K. / Arayashiki, Y. et al. | 2023
- 1
-
A 79.5dB-SNDR Pipelined-SAR ADC with a Linearity-Shifting 32× Dynamic Amplifier and Mounted-Over-Die Bypass CapacitorsZhang, Minglei / Cao, Yuefeng / Zhu, Yan / Chan, Chi-Hang / Martins, R. P. et al. | 2023
- 1
-
A 3-nm 27.6-Mbit/mm2 Self-timed SRAM Enabling 0.48 - 1.2 V Wide Operating Range with Far-end Pre-charge and Weak-Bit TrackingAoyagi, Yumito / Yabuuchi, Makoto / Tanaka, Tomotaka / Ishii, Yuichiro / Osada, Yoshiaki / Nakazato, Takaaki / Nii, Koji / Wang, Isabel / Hsu, Yu-Hao / Cheng, Hong-Chen et al. | 2023
- 1
-
Co-designed Capacitive Coupling-Immune Sensing Scheme for Indium-Tin-Oxide (ITO) 2T Gain Cell Operating at Positive Voltage Below 2 VToprasertpong, Kasidit / Liu, Shuhan / Chen, Jian / Wahid, Sumaiya / Jana, Koustav / Chen, Wei-Chen / Li, Shengman / Pop, Eric / Philip Wong, H.-S. et al. | 2023
- 1
-
Beyond 10 μm Depth Ultra-High Speed Etch Process with 84% Lower Carbon Footprint for Memory Channel Hole of 3D NAND Flash over 400 LayersKihara, Yoshihide / Tomura, Maju / Sakamoto, Wataru / Honda, Masanobu / Kojima, Masayuki et al. | 2023
- 1
-
A Class-D Piezoelectric Speaker Driver Using A Quadrature Feedback Chopping Scheme achieving 29dB Large-Signal THD+N ImprovementKarmakar, Shoubhik / Zhang, Huajun / Berkhout, Marco / Fan, Qinwen et al. | 2023
- 1
-
Experimental Demonstration of Probabilistic-Bit (p-bit) Utilizing Stochastic Oscillation of Threshold Switch DeviceHeo, Seongjae / Kim, Dongmin / Choi, Wooseok / Ban, Sanghyun / Kwon, Ohhyuk / Hwang, Hyunsang et al. | 2023
- 1
-
First Study of the Charge Trapping Aggravation Induced by Anti-Ferroelectric Switching in the MFIS StackZhou, Zuopu / Jiao, Leming / Zheng, Zijie / Wang, Xiaolin / Zhang, Dong / Ni, Kai / Gong, Xiao et al. | 2023
- 1
-
A 12nm 137 TOPS/W Digital Compute-In-Memory using Foundry 8T SRAM Bitcell supporting 16 Kernel Weight Sets for AI Edge ApplicationsJedhe, Gajanan / Deshpande, Chetan / Kumar, Sushil / Xue, Cheng-Xin / Guo, Zijie / Garg, Ritesh / Jway, Kim Soon / Chang, En-Jui / Liang, Jenwei / Wan, Zhe et al. | 2023
- 1
-
Cryogenic RF Transistors and Routing Circuits Based on 3D Stackable InGaAs HEMTs with Nb Superconductors for Large-Scale Quantum Signal ProcessingJeong, Jaeyong / Kim, Seong Kwang / Suh, Yoon-Je / Lee, Jisung / Choi, Joonyoung / Park, Juhyuk / Kim, Joon Pyo / Kim, Bong Ho / Jo, Younjung / Park, Seung-Young et al. | 2023
- 1
-
A 28 nm 66.8 TOPS/W Sparsity-Aware Dynamic-Precision Deep-Learning ProcessorMun, HanGyeol / Son, Hyunwoo / Moon, Seunghyun / Park, Jaehyun / Kim, ByungJun / Sim, Jae-Yoon et al. | 2023
- 1
-
Bumpless Build Cube (BBCube) 3D: Heterogeneous 3D Integration Using WoW and CoW to Provide TB/s Bandwidth with Lowest Bit Access EnergyChujo, Norio / Sakui, Koji / Sugatani, Shinji / Ryoson, Hiroyuki / Nakamura, Tomoji / Ohba, Takayuki et al. | 2023
- 1
-
A 6.4-GS/s 1-GHz BW Continuous-Time Pipelined ADC with Time-Interleaved Sub-ADC-DAC Achieving 61.7-dB SNDR in 16-nm FinFETMittal, Rishabh / Shibata, Hajime / Patil, Sharvil / Krommenhoek, Erik / Shrestha, Prawal / Manganaro, Gabriele / Chandrakasan, Anantha P. / Lee, Hae-Seung et al. | 2023
- 1
-
First Demonstration of BEOL-Compatible Atomic-Layer-Deposited InGaZnO TFTs with 1.5 nm Channel Thickness and 60 nm Channel Length Achieving ON/OFF Ratio Exceeding 1011, SS of 68 mV/dec, Normal-off Operation and High Positive Gate Bias StabilityZhang, Jie / Zhang, Zhuocheng / Lin, Zehao / Xu, Ke / Dou, Hongyi / Yang, Bo / Zhang, Xinghang / Wang, Haiyan / Ye, Peide D. et al. | 2023
- 1
-
A 6nW 30.8kHz Relaxation Oscillator with Sampling Bias-Free RC Circuit and Dynamic Power Scaling in a 12nm FinFETLiao, Fan-Wei / Tsou, Shan-Chih / Chao, Chien-Sheng et al. | 2023
- 1
-
Novel Strategies for Highly Uniform and Reliable Cell Characteristics of 8th Generation 1Tb 3D-NAND Flash MemoryLee, Changhwan / Yu, Min-Tai / Park, Sejun / Lee, Hoki / Kim, Bio / Lim, Suhwan / Lee, Jaeduk / Lee, Sung-Hun / Park, Mincheol / Ahn, Su Jin et al. | 2023
- 1
-
A 0.75V 0.016mm2 12ENOB 7nm CMOS cyclic ADC with 1.5bit passive amplification stage and dynamic capacitance scalingOshima, Takashi / Yamamoto, Keisuke / Ono, Goichi et al. | 2023
- 1
-
A Fully Integrated 230 VRMS-to-12 VDC AC-DC Converter Achieving 9 mW/mm2Daele, Tuur Van / Tavernier, Filip et al. | 2023
- 1
-
A 187dB FoMS 46fJ/Conv. 2nd-order Highpass Δ∑ Capacitance-to-Digital ConverterJung, Yoontae / Oh, Sein / Koo, Jimin / Park, Seunga / Suh, Ji-Hoon / Cho, Donghee / Ha, Sohmyung / Je, Minkyu et al. | 2023
- 1
-
High Density Embedded 3D Stackable Via RRAM in Advanced MCU ApplicationsHuang, Yao-Hung / Hsieh, Yu-Cheng / Lin, Yu-Cheng / Chih, Yue-Der / Wang, Eric / Chang, Jonathan / King, Ya-Chin / Lin, Chrong Jung et al. | 2023
- 1
-
A Nanosheet Oxide Semiconductor FET Using ALD InGaOx Channel and InSnOx Electrode with Normally-off Operation, High Mobility and Reliability for 3D Integrated DevicesHikake, Kaito / Li, Zhuo / Hao, Junxiang / Pandy, Chitra / Saraya, Takuya / Hiramoto, Toshiro / Takahashi, Takanori / Uenuma, Mutsunori / Uraoka, Yukiharu / Kobayashi, Masaharu et al. | 2023
- 1
-
1Mbit 1T1C 3D DRAM with Monolithically Stacked One Planar FET and Two Vertical FET Heterogeneous Oxide Semiconductor layers over Si CMOSOkamoto, Y. / Komura, Y. / Mizuguchi, T. / Saito, T. / Ito, M. / Kimura, K. / Onuki, T. / Ando, Y. / Sawai, H. / Murakawa, T. et al. | 2023
- 1
-
HZO Scaling and Fatigue Recovery in FeFET with Low Voltage Operation: Evidence of Transition from Interface Degradation to Ferroelectric FatigueCai, Zuocheng / Toprasertpong, Kasidit / Takenaka, Mitsuru / Takagi, Shinichi et al. | 2023
- 1
-
A 183.4nJ/inference 152.8μW Single-Chip Fully Synthesizable Wired-Logic DNN Processor for Always-On 35 Voice Commands Recognition ApplicationKosuge, Atsutake / Sumikawa, Rei / Hsu, Yao-Chung / Shiba, Kota / Hamada, Mototsugu / Kuroda, Tadahiro et al. | 2023
- 1
-
A General-Purpose Compute-in-Memory Processor Combining CPU and Deep Learning with Elevated CPU Efficiency and Enhanced Data LocalityJu, Yuhao / Wei, Yijie / Chen, Xi / Gu, Jie et al. | 2023
- 1
-
A Fully Synthesizable 100Mbps Edge-Chasing True Random Number GeneratorHe, Yan / Yang, Kaiyuan et al. | 2023
- 1
-
A Low-Voltage Area-Efficient TSV I/O for HBM with Data Rate up to 15Gb/s Featuring Overlapped Multiplexing Driver, ISI Compensators and QECKim, Taeryeong / Kim, Ji-Young / You, Jeonghyeok / Chae, Hohyun / Moon, Byoung Mo / Sohn, Kyomin / Jung, Seong-Ook et al. | 2023
- 1
-
A Monolithic Amorphous-Selenium/CMOS Small-Pixel-Effect-Enhanced X-Ray-Energy-Discriminating Quantum-Counting Pixel for Biomedical ImagingMohammadi, Reza / Levine, Peter M. / Karim, Karim S. et al. | 2023
- 1
-
Circuit designs for practical-scale fault-tolerant quantum computingSuzuki, Yasunari / Ueno, Yosuke / Liao, Wang / Tanaka, Masamitsu / Tanimoto, Teruo et al. | 2023
- 1
-
A 90 μW at 1 fps and 1.33 mW at 30 fps 120 dB intra-scene dynamic range 640 × 480 stacked image sensor for autonomous vision systemsRuedi, P.-F. / Quaglia, R. / Graf, H.-R. et al. | 2023
- 1
-
A Wideband CMOS NMR Spectrometer for Multinuclear Molecular FingerprintingZhang, Aoyang / Kruger, Daniel / Aghelnejad, Behdad / Yang, Guang / Hinton, Henry / Song, Yi-Qiao / Ham, Donhee et al. | 2023
- 1
-
Quantum Computing from Hype to Game ChangerMizuno, Hiroyuki et al. | 2023
- 1
-
High Performance 5G Mobile SOC Productization with 4nm EUV Fin-FET TechnologyYuan, Jun / Deng, Jie / Lin, Vicki / Chen, Ying / Chiu, Joseph / Lin, Minghuei / Chen, Jun / Zhang, Deedee / Chen, Yukai / Liu, David et al. | 2023
- 1
-
Scaling-CIM: An eDRAM-based In-Memory-Computing Accelerator with Dynamic-Scaling ADC for SQNR-Boosting and Layer-wise Adaptive Bit-TruncationKim, Sangiin / Um, Soyeon / Jo, Wooyoung / Lee, Jingu / Ha, Sangwoo / Li, Zhiyong / Yoo, Hoi-Jun et al. | 2023
- 1
-
Highly Reliable and Manufacturable MRAM embedded in 14nm FinFET nodeKo, S. / Park, J. H. / Bak, J. H. / Jung, H. / Shim, J. / Kim, D. S. / Lim, W. / Jeong, D.-E. / Lee, J. H. / Lee, K. et al. | 2023
- 1
-
Lg = 60 nm In0.53 Ga0.47 As MBCFETs: From gm_max = 13.7 mS/üm and Q = 180 to virtual-source modelingYoo, J.-H. / Jo, H.-B. / Lee, I.-G. / Choi, S.-M. / Baek, J.-M. / Lee, S T. / Jang, H. / Kong, M W. / Kim, H H. / Lee, H J. et al. | 2023
- 1
-
A 0.05-to-3.1A 585mA/mm3 97.3%-Efficiency Outphase Switched-Capacitor Hybrid Buck Converter with Relieved Capacitor Inrush Current and COUT-Free OperationZhang, Xiongjie / Ma, Qiaobo / Zhao, Anyang / Jiang, Yang / Law, Man-Kay / Mak, Pui-In / Martins, Rui et al. | 2023
- 1
-
Wireless Body-Area Network Transceiver ICs with Concurrent Body-Coupled Powering and Communication using Single ElectrodeLi, Jiamin / Dong, Yilong / Lin, Longyang / Tan, Joanne Si Ying / Yi, Fong Jia / Yoo, Jerald et al. | 2023
- 1
-
4-Layer Wafer on Wafer Stacking Demonstration with Face to Face/Face to Back Stacked Flexibility Using Hybrid Bond/TSV-Middle for Various 3D IntegrationLu, C.-L. / Chuang, C.-H. / Huang, C.-H. / Lin, S.-C. / Chang, Y.-H. / Lai, W.-Y. / Chiu, M.-H. / Liao, M.-H. / Chang, S.-Z. et al. | 2023
- 1
-
Novel Low Thermal Budget CMOS RMG: Performance and Reliability Benchmark Against Conventional High Thermal Budget Gate Stack SolutionsFranco, J. / Arimura, H. / de Marneffe, J.-F. / Brus, S. / Ritzenthaler, R. / Litta, E. Dentoni / Croes, K. / Kaczer, B. / Horiguchi, N. et al. | 2023
- 1
-
A 28nm Nonvolatile AI Edge Processor using 4Mb Analog-Based Near-Memory-Compute ReRAM with 27.2 TOPS/W for Tiny AI Edge DevicesWen, Tai-Hao / Hung, Je-Min / Hsu, Hung-Hsi / Wu, Yuan / Chang, Fu-Chun / Li, Chung-Yuan / Chien, Chih-Han / Su, Chin-I / Khwa, Win-San / Wu, Jui-Jen et al. | 2023
- 1
-
An 11.4-to-16.4GHz FMCW Digital PLL with Cycle-slipping Compensation and Back-tracking DPD Achieving 0.034% RMS Frequency Error under 3.4-GHz Chirp Bandwidth and 960-MHz/μs Chirp SlopeYan, Angxiao / Deng, Wei / Jia, Haikun / Sun, Shiyan / Tang, Chao / Zhu, Bufan / Fu, Yu / Liu, Hongzhuo / Chi, Baoyong et al. | 2023
- 1
-
U-MRAM: Transistor-Less, High-Speed (10 ns), Low-Voltage (0.6 V), Field-Free Unipolar MRAM for High-Density Data MemoryWu, Ming-Hung / Hong, Ming-Chun / Shih, Ching / Chang, Yao-Jen / Hsin, Yu-Chen / Chiu, Shih-Ching / Chen, Kuan-Ming / Su, Yi-Hui / Wang, Chih-Yao / Yang, Shan-Yi et al. | 2023
- 1
-
Highly Reliable/Manufacturable 4nm FinFET Platform Technology (SF4X) for HPC Application with Dual-CPP/HP-HD Standard CellsSon, Kihwang / Park, Seulki / Jung, Kyunghoon / Kim, Jun-Gyu / Ko, Younggun / Cheon, Keonyong / Yoon, Changkeun / Kim, Jiho / Jeong, Jaehun / Myung, Taehun et al. | 2023
- 1
-
Towards DTCO in High Temperature GaN-on-Si Technology: Arithmetic Logic Unit at 300 °C and CAD Framework up to 500 °CXie, Qingyun / Yuan, Mengyang / Niroula, John / Sikder, Bejoy / Luo, Shisong / Fu, Kai / Rajput, Nitul S. / Pranta, Ayan Biswas / Yadav, Pradyot / Zhao, Yuji et al. | 2023
- 1
-
A CMOS/Microfluidics Point-of-Care SoC employing Square-Wave Voltcoulometry for Biosensing with Aptamers and CRISPR-Cas12a EnzymesHsiao, Yan-Ting / Chuang, Shu-Yan / Hou, Hung-Yu / Su, Yun-Chun / Yeh, Hsiu-Cheng / Song, Hsin-Tzu / Chang, Yun-Jui / Weng, Wei-Yang / Tsai, Ya-Chen / Lin, Pin-Yu et al. | 2023
- 1
-
A 28nm 77.35TOPS/W Similar Vectors Traceable Transformer Processor with Principal-Component-Prior Speculating and Dynamic Bit-wise Stationary ComputingWang, Yang / Qin, Yubin / Deng, Dazheng / Yang, Xiaolong / Zhao, Zhiren / Guo, Ruiqi / Yue, Zhiheng / Liu, Leibo / Wei, Shaojun / Hu, Yang et al. | 2023
- 1
-
Phase Change Memory-based Hardware Accelerators for Deep Neural Networks (invited)Burr, Geoffrey W. / Narayanan, P. / Ambrogio, S. / Okazaki, A. / Tsai, H. / Hosokawa, K. / Mackin, C. / Nomura, A. / Yasuda, T. / Demarest, J. et al. | 2023
- 1
-
A 1,024-Channel, 64-Interconnect, Capacitive Neural Interface Using a Cross-Coupled Microelectrode Array and 2-Dimensional Code-Division MultiplexingChoi, Woojun / Chen, Yiyang / Kim, Donghwan / Weaver, Sean / Schlotter, Tilman / Livanelioglu, Can / Liao, Jiawei / Incandela, Rosario / Davami, Parham / Atzeni, Gabriele et al. | 2023
- 1
-
Noise Performance Improvements of 2-Layer Transistor Pixel Stacked CMOS Image Sensor with Non-doped Pixel-FinFETsKikuchi, Y. / Tomita, M. / Hayashi, T. / Chiba, H. / Ogita, T. / Okawa, T. / Nishida, K. / Sugimoto, M. / Yoneyama, D. / Umeki, T. et al. | 2023
- 1
-
A 2048-channel, 125μW/ch DAC Controlling a 9,216-element Optical Phased Array Coherent Solid-State LiDARMoss, Benjamin R. / Poulton, Christopher V. / Byrd, Matthew J. / Russo, Peter / Shatrovoy, Oleg / Paquette, David / Reardon, Andrew / Watts, Michael R. et al. | 2023
- 1
-
Simple Binary In-Te OTS with Sub-nm HfOₓ Buffer Layer for 3D Vertical X-point Memory ApplicationsBan, Sanghyun / Lee, Jangseop / Kim, Taehoon / Hwang, Hyunsang et al. | 2023
- 1
-
Monolithic 3D Integration of FeFET, Hybrid CMOS Logic and Analog RRAM Array for Energy-Efficient Reconfigurable Computing-In-Memory ArchitectureDu, Yiwei / Tang, Jianshi / Li, Yijun / Xi, Yue / Gao, Bin / Qian, He / Wu, Huaqiang et al. | 2023
- 1
-
Nano-Through Silicon Vias (nTSV) for Backside Power Delivery Networks (BSPDN)Beyne, Eric / Jourdain, Anne / Beyer, Gerald et al. | 2023
- 1
-
3-bits-per-cell 2T32CFE nvTCAM by Angstrom-laminated Ferroelectric Layers with 10¹¹ Cycles of Endurance and 4.92V of Ultra-wide Memory-windows for In-memory-searchingHsieh, E. R. / Tang, Y. T. / Liu, C. R. / Wang, S. M. / Hsueh, Y. L. / Lin, R. Q. / Huang, Y. X. / Chen, Y. T. et al. | 2023
- 1
-
A 6.5nW, -73.5dBm Sensitivity, Cryptographic Wake-Up Receiver with a PUF-based OTP and Temperature-Insensitive Code RecoveryPark, Jaehan / Jeon, Cheonhoo / Minn, Donggyu / Roh, Heesung / Sim, Jae-Yoon et al. | 2023
- 1
-
A 1Tb 3b/Cell 3D-Flash Memory of more than 17Gb/mm2 bit density with 3.2Gbps interface and 205MB/s program throughputSako, M. / Nakajima, T. / Kono, F. / Nakano, T. / Fujiu, M. / Musha, J. / Nakamura, D. / Kanagawa, N. / Shimizu, Y. / Yanagidaira, K. et al. | 2023
- 1
-
A 2.35 Gb/s/mm2 (7440, 6696) NB-LDPC Decoder over GF(32) using Memory-Reduced Column-Wise Trellis Min-Max Algorithm in 28nm CMOS TechnologyChoe, Jeongwon / Lee, Youngjoo et al. | 2023
- 1
-
An 8.7 mW/TX, 21 mW/RX 6-to-9GHz IEEE 802.15.4a/4z Compliant IR-UWB Transceiver with Pulse Pre-Emphasis achieving 14mm Ranging PrecisionSong, Minyoung / Allebes, Erwin / Marshall, Chris / Bhat, Anoop Narayan / Bechthum, Elbert / Dijkhuis, Johan / Traferro, Stefano / Tiurin, Evgenii / Vis, Peter / Heuvel, Johan van Den et al. | 2023
- 1
-
96.48% Peak-Efficiency Continuous-Current Step-Up Battery Charger (CC-SUBC) with Dual Energy-Harvesting Sources for Automotive ApplicationPark, Hyo-Jin / Cho, Joo-Mi / Choi, Hyeon-Ji / Lee, Chan-Ho / Hong, Sung-Wan et al. | 2023
- 1
-
A 2.6 mV/b Resolution, 1.2 GHz Throughput, All-Digital Voltage Droop Monitor Using Coupled Ring Oscillators in Intel 4 CMOSAugustine, C. / Meinerzhagen, P. / Lim, W. / Veerabathini, A. / Bright, M. / Mojjada, K. / Tschanz, J. / Khellah, M. / De, V. et al. | 2023
- 1
-
Demonstration of crystalline IGZO transistor with high thermal stability for memory applicationsKim, Whayoung / Kim, Jaehyeon / Ko, Dongjin / Cha, Jun-Hwe / Park, Gyeongcheol / Ahn, Youngbae / Lee, Jong-Young / Sung, Minchul / Choi, Hyejung / Ryu, Seung Wook et al. | 2023
- 1
-
A 4.4 GS/s 220 MHz ΣΔ ADC with a Linearized Back-Gate Controlled GmC FilterEdler, Julius / Runge, Marcel / Linnhoff, Sebastian / Gerfers, Friedel et al. | 2023
- 1
-
Write-enhanced Single-ended 11T SRAM Enabling Single Bitcell Reconfigurable Compute-in-Memory Employing Complementary FETsYou, Wei-Xiang / Wang, Cheng-Yin / Wang, Yih / Jonathan Chang, Tsung-Yung / Liao, Szuya Sandy et al. | 2023
- 1
-
3.7-GHz Multi-Bank High-Current Single-Port Cache SRAM with 0.5V-1.4V Wide Voltage Range Operation in 3nm FinFET for HPC ApplicationsOsada, Yoshiaki / Nakazato, Takaaki / Nii, Koji / Liaw, Jhon-Jhy / Wu, Shien-Yang Michael / Li, Quincy / Fujiwara, Hidehiro / Liao, Hung-Jen / Chang, Tsung-Yung Jonathan et al. | 2023
- 1
-
A 12-nm 0.62-1.61 mW Ultra-Low Power Digital CIM-based Deep-Learning System for End-to-End Always-on VisionChang, En-Jui / Xue, Cheng-Xin / Deshpande, Chetan / Jedhe, Gajanan / Liang, Jenwei / Cheng, Chih-Chung / Lin, Hung-Wei / Lee, Chia-Da / Kumar, Sushil / Jway, Kim Soon et al. | 2023
- 1
-
BEOL Interconnect Innovation: Materials, Process and Systems Co-optimization for 3nm Node and BeyondThareja, Gaurav / Pal, Ashish / Wang, Xingye / Dag, Sefa / You, Shi / Sharma, Shashank / Zhu, Qing / Cervantes, Carmen L. / Hwang, Shinjae / Spuller, Matthew et al. | 2023
- 1
-
Extremely High-κ Hf0.2Zr0.8O2 Gate Stacks Integrated into Ge0.95Si0.05 Nanowire and Nanosheet nFETs Featuring Respective Record Ion per Footprint of 9200μA/μm and Record Ion per Stack of 360μA at VOV=VDS=0.5VLiu, Yi-Chun / Chen, Yu-Rui / Chen, Yun-Wen / Lin, Hsin-Cheng / Hsieh, Wan-Hsuan / Tu, Chien-Te / Huang, Bo-Wei / Chen, Wei-Jen / Cheng, Chun-Yi / Chueh, Shee-Jier et al. | 2023
- 1
-
How Fault-Tolerant Quantum Computing Benefits from Cryo-CMOS TechnologyChiang, H.-L. / Hadi, R. A. / Wang, J.-F. / Han, H.-C. / Wu, J.-J. / Hsieh, H.-H. / Horng, J.-J. / Chou, W.-S. / Lien, B.-S. / Chang, C.-H. et al. | 2023
- 1
-
A Time-Mode-Modulation Digital Quadrature Power Amplifier Based on 1-bit Delta-Sigma Modulator and Transformer Combined FIR FIlterZhang, Yuncheng / Sun, Zheng / Liu, Bangan / Qiu, Junjun / Xu, Dingxin / Zhang, Yi / Fu, Xi / You, Dongwon / Huang, Hongye / Madany, Waleed et al. | 2023
- 1
-
Catching the Missing EM Consequence in Soft Breakdown Reliability in Advanced FinFETs: Impacts of Self-heating, On-State TDDB, and Layout DependenceDong, Zuoyuan / Sun, Zixuan / Yang, Xin / Li, Xiaomei / Xue, Yongkang / Luo, Chen / Cai, Puyang / Wang, Zirui / Wang, Shuying / Zhang, Yewei et al. | 2023
- 1
-
How Harsh is Space?–Equations That Connect Space and Ground VLSIKobayashi, Daisuke / Hirose, Kazuyuki et al. | 2023
- 1
-
A 3.0 Gb/s/pin 4th generation F-chip with Toggle 5.0 Specification for 16Tb NAND Flash Memory Multi chip PackageJo, Youngmin / Kavala, Anil / Kim, Tongsung / Chun, Byungkwan / Park, Jung-June / Lee, Taesung / Seo, Jungmin / Yang, Manjae / Park, Taehyeon / Kwon, Hyunjin et al. | 2023
- 1
-
A Sub-THz Full-Duplex Phased-Array Transceiver with Self-Interference Cancellation and LO Feedthrough SuppressionWang, Chun / Abdo, Ibrahim / Liu, Chenxin / Da Gomez, Carrel / Herdian, Hans / Wang, Wenqian / Fu, Xi / You, Dongwon / Shehata, Abanob / Park, Sunghwan et al. | 2023
- 1
-
Searching for Nonlinearity: Scaling Limits in NAND FlashSivaram, Siva / Ilkbahar, Alper et al. | 2023
- 1
-
A 4.0GHz UHS Pseudo Two-port SRAM with BL Charge Time Reduction and Flying Word-Line for HPC Applications in 4nm FinFET TechnologyKim, Jeongkyun / Yook, Byungho / Choi, Taemin / Choi, Kyuwon / Lee, Chanho / Li, Yunrong / Lee, Youngo / Yun, Seok / Do, Changhoon / Tang, Hoyoung et al. | 2023
- 1
-
Voltage Scaling-Agnostic Counteraction of Side-Channel Neural Net Reverse Engineering via Machine Learning Compensation and Multi-Level ShufflingFang, Qiang / Lin, Longyang / Zhang, Hui / Wang, Tianqi / Alioto, Massimo et al. | 2023
- 1
-
Doping-Optimized Back-illuminated Single-Photon Avalanche Diode in Stacked 40 nm CIS Technology Achieving 60% PDP at 905 nmPark, Eunsung / Ha, Won-Yong / Eom, Doyoon / Ahn, Dae-Hwan / An, Hyuk / Yi, Suhyun / Kim, Kyung-Do / Kim, Jongchae / Choi, Woo-Young / Lee, Myung-Jae et al. | 2023
- 1
-
A 1.9GHz 0.57V Vmin 576Kb embedded product-ready L2 cache in 5nm FinFET technologyJungmann, N. / Joshi, R. / Kachir, E. / Shimanovich, K. / He, B. / Cohen, T. / Miller, T. / Leu, D. / Kannambadi, D. / Wagner, I. et al. | 2023
- 1
-
A −20°C~+107°C 52mk-NETD Reference-cell-free 15-bits ROIC for 80×60 Micro-bolometer Thermal ImagerYu, Hsin / Marquez, John Carl Joel Salao / Hsieh, Chih-Cheng et al. | 2023
- 1
-
ECC-Less Multi-Level SRAM Physically Unclonable Function and 127% PUF-to-Memory Capacity Ratio with No Bitcell Modification in 28nmBasu, Joydeep / Taneja, Sachin / Rajanna, Viveka Konandur / Wang, Tianqi / Alioto, Massimo et al. | 2023
- 1
-
Comprehensive 300 mm process for Silicon spin qubits with modular integrationElsayed, A. / Godfrin, C. / Dumoulin Stuyck, N.I. / Shehata, M.M.K. / Kubicek, S. / Massar, S. / Canvel, Y. / Jussot, J. / Hikavyy, A. / Loo, R. et al. | 2023
- 1
-
A 256 Gbps Heterogeneously Integrated Silicon Photonic Microring-based DWDM Receiver Suitable for In-Package Optical I/OXuan, Zhe / Balamurugan, Ganesh / Huang, Duanni / Kumar, Ranjeet / Sharma, Jahnavi / Levy, Cooper / Kim, Jinyong / Ma, Chaoxuan / Su, Guan-Lin / Liu, Songtao et al. | 2023
- 1
-
Characterizing and Reducing the Layout Dependent Effect and Gate Resistance to Enable Multiple-Vt Scaling for a 3nm CMOS TechnologyLu, C.A. / Lee, H.P. / Chen, H.C. / Lin, Y.C. / Chung, Y.H. / Wang, S.H. / Yeh, J.Y. / Chang, V.S. / Chiang, M.C. / Chang, W. et al. | 2023
- 1
-
First Stacked Nanosheet FeFET Featuring Memory Window of 1.8V at Record Low Write Voltage of 2V and Endurance >1E11 CyclesChen, Yu-Rui / Liu, Yi-Chun / Zhao, Zefu / Hsieh, Wan-Hsuan / Lee, Jia-Yang / Tu, Chien-Te / Huang, Bo-Wei / Wang, Jer-Fu / Chueh, Shee-Jier / Xing, Yifan et al. | 2023
- 1
-
38.4-pW, 0.14-mm2 Body-Driven Temperature-to-Digital Converter and Voltage Reference with 0.6-1.6-V Unregulated Supply for Battery-Less SystemsFassio, Luigi / Aiello, Orazio / Alioto, Massimo et al. | 2023
- 1
-
A 2GS/s 11b 8x Interleaved ADC with 9.2 ENOB and 69.9dB SFDR in 28nm CMOSRicci, Luca / Scaletti, Lorenzo / Be, Gabriele / Rocco, Michele / Bertulessi, Luca / Levantino, Salvatore / Lacaita, Andrea / Samori, Carlo / Bonfanti, Andrea et al. | 2023
- 1
-
Arvon: A Heterogeneous SiP Integrating a 14nm FPGA and Two 22nm 1.8TFLOPS/W DSPs with 1.7Tbps/mm2 AIB 2.0 Interface to Provide Versatile Workload AccelerationTang, Wei / Cho, Sung-Gun / Hoang, Tim Tri / Botimer, Jacob / Zhu, Wei Qiang / Chang, Ching-Chi / Lu, Cheng-Hsun / Zhu, Junkang / Tao, Yaoyu / Wei, Tianyu et al. | 2023
- 1
-
Towards low damage and fab-compatible top-contacts in MX2 transistors using a combined synchronous pulse atomic layer etch and wet-chemical etch approachKundu, S. / van Doip, D. H. / Schram, T. / Smets, Q. / Banerjee, S. / Groven, B. / Cott, D. / Decoster, S. / Bezard, P. / Lazzarino, F. et al. | 2023
- 1
-
An 18.8-to-23.3 GHz ADPLL Based on Charge-Steering-Sampling Technique Achieving 75.9 fs RMS Jitter and –252 dB FoMTao, Weichen / Zhao, Weichen / Staszewski, Robert Bogdan / Lin, Fujiang / Hu, Yizhe et al. | 2023
- 1
-
A 135 GBps/Gbit 0.66 pJ/bit Stacked Embedded DRAM with Multilayer Arrays by Fine Pitch Hybrid Bonding and Mini-TSVWang, Song / Yu, Bing / Xiao, Wenwu / Bai, Fujun / Long, Xiaodong / Bai, Liang / Jia, Xuerong / Zuo, Fengguo / Tan, Jie / Guo, Yixin et al. | 2023
- 1
-
A Compact 0.9uW Direct-Conversion Frequency Analyzer for Speech Recognition with Wide-Range Q-Controlable Bandpass RectifierDosho, Shiro / Minati, Ludovico / Maari, Kazuki / Ito, Hiroyuki et al. | 2023
- 1
-
Block-level Evaluation and Optimization of Backside PDN for High-Performance Computing at the A14 nodeSisto, G. / Preston, R. / Chen, R. / Mirabelli, G. / Farokhnejad, A. / Zhou, Y. / Ciofi, I. / Jourdain, A. / Veloso, A. / Stucchi, M. et al. | 2023
- 1
-
A 0.22mm2 per Channel Data Link for Reinforced Isolation with >25kVpk Surge Tolerance and >295kV/μs Common Mode Transient ImmunityHa, Dongwan / Yun, Ruida / Wrenner, Kevin et al. | 2023
- 1
-
Non-Destructive-Read 1T1C Ferroelectric Capacitive Memory Cell with BEOL 3D Monolithically Integrated IGZO Access Transistor for 4F2 High-Density IntegrationZhou, Zuopu / Jiao, Leming / Kong, Qiwen / Zheng, Zijie / Han, Kaizhen / Chen, Yue / Sun, Chen / Nguyen, Bich-Yen / Gong, Xiao et al. | 2023
- 1
-
First Demonstration of BEOL-Compatible Write-Enhanced Ferroelectric-Modulated Diode (FMD): New Possibility for Oxide Semiconductor Memory DevicesJiao, Leming / Han, Kaizhen / Zhou, Zuopu / Zheng, Zijie / Wang, Xiaolin / Kong, Qiwen / Kang, Yuye / Zhang, Jishen / Liu, Long / Gong, Xiao et al. | 2023
- 1
-
Integration of a Stacked Contact MOL for Monolithic CFETVega-Gonzalez, Victor / Radisic, D. / Chan, Bt / Choudhury, S. / Wang, S. / Mingardi, A. / Le, Q. Toan / Decoster, H. / Oniki, Y. / Puttarame, P. et al. | 2023
- 1
-
A 16-channel Active-Matrix Mini-LED Driver with an USI-B for EMI noise reductionKwon, Y. / Kwak, Y. / Choi, Y. / Kim, K. / Kim, S. / Jang, W. / Park, J. / Ryu, K. / Yoo, S. / Lim, H.W. et al. | 2023
- 1
-
A 1024-Channel 268 nW/pixel 36x36 μm2/ch Data-Compressive Neural Recording IC for High-Bandwidth Brain-Computer InterfacesJang, MoonHyung / Yu, Wei-Han / Lee, Changuk / Hays, Maddy / Wang, Pingyu / Vitale, Nick / Tandon, Pulkit / Yan, Pumiao / Mak, Pui-In / Chae, Youngcheol et al. | 2023
- 1
-
A 2.4-to-4.2GHz 440.2fsrms-Integrated-Jitter 4.3mW Ring-Oscillator-Based PLL Using a Switched-Capacitor-Bias-Based Sampling PD in 4nm FinFET CMOSJung, Jaehong / Lee, Kyungmin / Kong, Gunwoo / Lim, Baekmin / Kim, Seungjin / Oh, Seunghyun / Lee, Jongwoo et al. | 2023
- 1
-
A Wireless Sensor-Brain Interface System for Tracking and Guiding Animal Behaviors Through Goal-Directed Closed-loop NeuromodulationZhu, Yi / Hou, Yuhan / Ji, Jack / Zhou, Aaron / Richardson, Andrew G. / Liu, Xilin et al. | 2023
- 1
-
A Mobile OLED Source-Driver IC featuring Ultra-Compact 3-Stage-Cascaded 10-Bit DAC and 42V/μs-Slew-Rate True-DC-Interpolative Super-OTA BufferShin, Seunghwa / Kang, Gyeong-Gu / Lim, Gyu-Wan / Kim, Hyun-Sik et al. | 2023
- 1
-
2D Materials in the BEOLNaylor, C. H. / Maxey, K. / Jezewski, C. / O'Brien, K. P. / Penumatcha, A. V. / Kavrik, M. S. / Agrawal, B. / Littlefield, C. V. / Lux, J. / Barley, B. et al. | 2023
- 1
-
A 24-OSR to Simplify Anti-Aliasing Filter 2MHz-BW 83dB-DR 3rd-order DT-DSM using FIA-Based Integrator and Noise-Shaping SAR Combined Digital Noise-Coupling QuantizerFukazawa, Mitsuya / Matsui, Tetsuo et al. | 2023
- 1
-
Novel Bridge Transmission Line Method for Thin-Film Semiconductors: Modelling, Simulation Verification, and Experimental DemonstrationHan, Kaizhen / Kang, Yuye / Chen, Yue / Gong, Xiao et al. | 2023
- 1
-
16-layer 3D Vertical RRAM with Low Read Latency (18ns), High Nonlinearity (>5000) and Ultra-low Leakage Current (~pA) Self-Selective CellsDing, Yaxin / Yang, Jianguo / Liu, Yu / Gao, Jianfeng / Wang, Yuan / Jiang, Pengfei / Lv, Shuxian / Chen, Yuting / Wang, Boping / Wei, Wei et al. | 2023
- 1
-
A 0.024mm² 84.2dB-SNDR 1MHz-BW 3rd-Order VCO-Based CTDSM with NS-SAR Quantizer (NSQ VCO CTDSM)Chen, Hsiang-Wen / Lee, Seungjong / Flynn, Michael et al. | 2023
- 1
-
A 1V 20.7μW Four-Stage Amplifier Capable of Driving a 4-to-12nF Capacitive Load with >1.07MHz GBW with an Improved Active ZeroLee, Chan-Ho / Park, Hyo-Jin / Cho, Joo-Mi / Choi, Hyeon-Ji / Jeon, Young-Jun / Hong, Sung-Wan et al. | 2023
- 1
-
Chip Demonstration of a High-Density (43Gb) and High-Search-Bandwidth (300Gb/s) 3D NAND Based In-Memory Search Accelerator for Ternary Content Addressable Memory (TCAM) and Proximity Search of Hamming DistanceHsieh, Chih-Chang / Lue, Hang-Ting / Li, Yung-Chun / Hung, Shuo-Nan / Hung, Chun-Hsiung / Wang, Keh-Chung / Lu, Chih-Yuan et al. | 2023
- 1
-
A Highly-Digital PWM-Based Impedance Monitoring IC with 143.2dB DR and 17.7fFrms ResolutionHan, Hyeonho / Choi, Woojun / Kim, Jaehyun / Sung, Jaesuk / Choi, Heon-Jin / Chae, Youngcheol et al. | 2023
- 1
-
First Demonstration of a-IGZO GAA Nanosheet FETs Featuring Achievable SS=61mV/dec,Ioff <-7 μA/μm, DIBL =44mV/V, Positive VT, and Process Temp. of 300 °CChiu, Jih-Chao / Sarkar, Eknath / Liu, Yuan-Ming / Chen, Yu-Ciao / Fan, Yu-Cheng / Liu, C. W. et al. | 2023
- 1
-
Foundry Monolithic 3D BEOL Transistor + Memory Stack: Iso-performance and Iso-footprint BEOL Carbon Nanotube FET+RRAM vs. FEOL Silicon FET+RRAMSrimani, T. / Yu, A. C. / Radway, R. M. / Rich, D. T. / Nelson, M. / Wong, S. / Murphy, D. / Fuller, S. / Hills, G. / Mitra, S. et al. | 2023
- 1
-
A 2.38 MCells/mm2 9.81 -350 TOPS/W RRAM Compute-in-Memory Macro in 40nm CMOS with Hybrid Offset/IOFF Cancellation and ICELL RBLSL Drop MitigationSpetalnick, Samuel D. / Chang, Muya / Konno, Shota / Crafton, Brian / Lele, Ashwin S. / Khwa, Win-San / Chih, Yu-Der / Chang, Meng-Fan / Raychowdhury, Arijit et al. | 2023
- 1
-
A Pitch-Matched Transceiver ASIC for 3D Ultrasonography with Micro-Beamforming ADCs based on Passive Boxcar Integration and a Multi-Level DatalinkGuo, P. / Chang, Z.Y. / Noothout, E. / Vos, H.J. / Bosch, J.G. / Jong, N. de / Verweij, M.D. / Pertijs, M.A.P. et al. | 2023
- 1
-
A 19.8W/29.6W Hybrid Step-Up/Down DC-DC Converter with 97.2% Peak Efficiency for 1-Cell/2-Cell Battery Charger ApplicationsYeo, Seongil / Hyeon, Uyong / Kim, Mingyeong / Kim, Jusung / Cho, Kunhee et al. | 2023
- 1
-
The chalcogenide-based memory technology continues: beyond 20nm 4-deck 256Gb cross-point memoryYi, Jaeyun / Kim, Myoungsub / Seo, Jungwon / Park, Namkyun / Lee, Seungyun / Kim, Jongil / Do, Gapsok / Jang, Hongjin / Koo, Hyochol / Cho, Sunglae et al. | 2023
- 1
-
Nanosheet-based Complementary Field-Effect Transistors (CFETs) at 48nm Gate Pitch, and Middle Dielectric Isolation to enable CFET Inner Spacer Formation and Multi-Vt PatterningMertens, H. / Hosseini, M. / Chiarella, T. / Zhou, D. / Wang, S. / Mannaert, G. / Dupuy, E. / Radisic, D. / Tao, Z. / Oniki, Y. et al. | 2023
- 1
-
Overcoming Negative nFET VTH by Defect-Compensated Low-Thermal Budget ITO-IGZO Hetero-Oxide Channel to Achieve Record Mobility and Enhancement-mode OperationHooda, Sonu / Chen, Chun-Kuei / Lal, Manohar / Tsai, Shih-Hao / Zamburg, Evgeny / Thean, Aaron Voon-Yew et al. | 2023
- 1
-
A Switched-Capacitor Integer Compute Unit with Decoupled Storage and Arithmetic for Cloud AI Inference in 5nm CMOSAgrawal, Ankur / Kar, Monodeep / Kim, Kyu-Hyoun / Rylov, Sergey / Jung, Jinwook / Munetoh, Seiji / Ho-Sokawa, Kohji / Zhang, Xin / Hekmatshoartabari, Bahman / Carta, Fabio et al. | 2023
- 1
-
A 64-Gb/s Reference-Less PAM4 CDR with Asymmetrical Linear Phase Detector Soring 231.5-fsrms Clock Jitter and 0.21-pJ/bit Energy Efficiency in 40-nm CMOSZhang, Zhao / Zhang, Zhaoyu / Chen, Yong / Qi, Nan / Liu, Jian / Wu, Nanjian / Liu, Liyuan et al. | 2023
- 1
-
A 65nm 60mW Dual-Loop Adaptive Digital Beamformer with Optimized Sidelobe Cancellation and On-Chip DOA Estimation for mm-Wave ApplicationsRyu, Sigang / Assoa, Adou Sangbone / Konno, Shota / Raychowdhury, Arijit et al. | 2023
- 1
-
Novel Cell Architectures with Back-side Transistor Contacts for Scaling and PerformanceKobrinsky, M. / Silva, J. D / Mannebach, E. / Mills, S. / Qader, M. Abd El / Adebayo, O. / Radhakrishna, N. Arkali / Beasley, M. / Chawla, J. / Chugh, S. et al. | 2023
- 1
-
A 6GHz Multi-Path Multi-Frequency Chopping CTΔΣ Modulator achieving 122dBFS SFDR from 150kHz to 120MHz BWJavvaji, Sundeep / Bolatkale, Muhammed / Bajoria, Shagun / Rutten, Robert / Essink, Bert Oude / Beijens, Koen / Makinwa, Kofi / Breems, Lucien et al. | 2023
- 1
-
Self-Referenced Design-Agnostic Laser Voltage Probing Attack Detection with 100% Protection Coverage, 58% Area Overhead for Automated DesignZhang, Hui / Lin, Longyang / Fang, Qiang / Kalingage, Udara Samurdhi Harshanga / Alioto, Massimo et al. | 2023
- 1
-
A 50μW Ring-Type Complementary Inverse-Class-D Oscillator with 191.4dBc/Hz FoM and 205.6dBc/Hz FoMAXu, Kai / Yu, Bowen / Hu, Jun / Li, Yubin / Staszewski, Robert Bogdan / Xu, Hongtao et al. | 2023
- 1
-
Building high performance transistors on carbon nanotube channelPitner, Gregory / Safron, Nathaniel / Chao, Tzu-Ang / Li, Shengman / Su, Sheng-Kai / Zeevi, Gilad / Lin, Qing / Chiu, Hsin-Yuan / Passlack, Matthias / Zhang, Zichen et al. | 2023
- 1
-
A 0.32pJ/b 90Gbps PAM4 Optical Receiver Front-End with Automatic Gain Control in 12nm CMOS FinFETKashani, Milad Haghi / Shakiba, Hossein / Sheikholeslami, Ali et al. | 2023
- 1
-
5G NR RF PA Supply Modulator Supporting 179ns 0.5-to-5.5V Symbol Power Tracking and Envelope TrackingBang, Jun-Suk / Kim, Dongsu / Choo, Younghwan / Kim, Ik-Hwan / Park, Seungchan / Lee, Jeongkwang / Lee, Sang-Han / Jung, Young-Ho / Ko, Jae-Young / Jung, Sungyoub et al. | 2023
- 1
-
A 4.8mW, 800Mbps Hybrid Crypto SoC for Post-Quantum Secure Neural InterfacingLin, Liang-Hsin / Fu, Zih-Sing / Chen, Po-Shao / Yang, Bo-Yin / Yang, Chia-Hsiang et al. | 2023
- 1
-
First Demonstration of a Design Methodology for Highly Reliable Operation at High Temperature on 128kb 1T1C FeRAM ChipGong, Tiancheng / Xu, Lihua / Wei, Wei / Jiang, Pengfei / Yuan, Peng / Nie, Bowen / Huang, Yuanquan / Wang, Yuan / Yang, Yang / Gao, Jianfeng et al. | 2023
- 1
-
SP-PIM: A 22.41TFLOPS/W, 8.81Epochs/Sec Super-Pipelined Processing-In-Memory Accelerator with Local Error Prediction for On-Device LearningKim, Jung-Hoon / Heo, Jaehoon / Han, Wontak / Kim, Jaeuk / Kim, Joo-Young et al. | 2023
- 1
-
Strategy for 3D Ferroelectric Transistor: Critical Surface Orientation Dependence of HfZrOx on SiKuk, Song-Hyeon / Han, Jae-Hoon / Kim, Bong Ho / Kim, Joon Pyo / Kim, Sang-Hyeon et al. | 2023
- 1
-
218Kauth/s, 3nJ/auth SCA/ML-Resistant Privacy-Preserving Mutual Authentication Accelerator with a Crypto-Double-Coupled PUF in 4nm class CMOSTaneja, Sachin / Suresh, Vikram / Kumar, Raghavan / De, Vivek / Mathew, Sanu et al. | 2023
- 1
-
AMD InstinctTM MI250X Accelerator enabled by Elevated Fanout Bridge Advanced Packaging ArchitectureSwaminathan, Raja / Schulte, Michael J. / Wilkerson, Brett / Loh, Gabriel H. / Smith, Alan / James, Norman et al. | 2023
- 1
-
ASIL-D automotive-grade microcontroller in 28nm FD-SOI with full-OTA capable 21MB embedded PCM memory and highly scalable power managementGrossier, N. / Disegni, F. / Ventre, A. / Barcella, A. / Mariani, R. / Marino, V. / Mazzara, S. / Scavuzzo, A. / Bansal, M. / Soni, B. et al. | 2023
- 1
-
A Reference-Sampling PLL with Low-Ripple Double-Sampling PD Achieving −80-dBc Reference Spur and −259-dB FoM with 12-pF Input LoadYang, Zunsong / Osada, Masaru / Li, Shuowei / Zhu, Yuyang / Iizuka, Tetsuya et al. | 2023
- 1
-
A 110dB-TCMRR TDM-based 8-Channel Noncontact ECG Recording IC with Suppression of Motion-Induced Coupling in <0.3s and CMI Cancellation up to 22VPPChoi, Kyu-Jin / Choi, Seungnam / Sim, Jae-Yoon et al. | 2023
- 1
-
Quantum Dots Array on Ultra-Thin SOI Nanowires with Ferromagnetic Cobalt Barrier Gates for Enhanced Spin Qubit ControlBersano, Fabio / Aldeghi, Michele / Collette, Eloi / Ghini, Michele / Palma, Franco De / Oppliger, Fabian / Scarlino, Pasquale / Braakman, Floris / Poggio, Martino / Riel, Heike et al. | 2023
- 1
-
QLC Programmable 3D Ferroelectric NAND Flash Memory by Memory Window Expansion using Cell Stack EngineeringYoon, Sunghyun / Hong, Sung-In / Kim, Daehyun / Choi, Garam / Kim, Young Mo / Min, Kyunghoon / Kim, Seiyon / Na, Myung-Hee / Cha, Seonyong et al. | 2023
- 1
-
PPA and Scaling Potential of Backside Power Options in N2 and A14 Nanosheet TechnologyYang, S. / Schuddinck, P. / Garcia-Bardon, M. / Xiang, Y. / Veloso, A. / Chan, B T / Mirabelli, G. / Hiblot, G. / Hellings, G. / Ryckaert, J. et al. | 2023
- 1
-
An All-Digital Outphasing Transmitter IC for Ka-Band Bit-to-RF Concurrent Multi-Beam DBF ArrayWang, Dong / Zhou, Jiazheng / Xu, Hui / Zhang, Ningyuan / Su, Xiaolei / Shen, Zhengkun / Jiang, Haoyun / Yang, Fan / Wang, Yixiao / Liu, Junhua et al. | 2023
- 1
-
First Demonstration of BEOL-Compatible MFMIS Fe-FETs with 3D Multi-Fin Floating Gate: In-situ ALD-deposited MFM, LCH of 50 nm,> 2×109 Endurance, and 58.3% Area SavingWang, Xiaolin / Zheng, Zijie / Kong, Qiwen / Jiao, Leming / Han, Kaizhen / Sun, Chen / Zhou, Zuopu / Liu, Long / Kang, Yuye / Liu, Gan et al. | 2023
- 1
-
Record High Active Boron Doping using Low Temperature In-situ CVD: Enabling Sub-5×10−10 Ω-cm2 ρc from Cryogenic (5 K) to Room TemperatureZheng, Gerui / Wang, Yuxuan / Xu, Haiwen / Khazaka, Rami / Muehlenbein, Lutz / Luo, Sheng / Chen, Xuanqi / Shao, Rui / Zheng, Zijie / Liang, Gengchiau et al. | 2023
- 1
-
GPPU: A 330.4-μJ/ task Neural Path Planning Processor with Hybrid GNN Acceleration for Autonomous 3D NavigationSong, Seokchan / Han, Donghyeon / Kim, Sangjin / Kim, Sangyeob / Park, Gwangtae / Yoo, Hoi-Jun et al. | 2023
- 1
-
An 0.08 e–. pJ/step 14-bit gain-adaptive single-slope column ADC with enhanced HDR function for high-quality imagersHung, Luong / Matsuura, Koji / Suto, Hiroki / Kodama, Kazutoshi / Tanaka, Yosuke / Ono, Toshiaki / Fujimagari, Junichiro / Akiyama, Kentaro / Akahide, Miho / Inada, Yoshiaki et al. | 2023
- 1
-
Lowest IOFF < 3×10−21 A/μm in capacitorless DRAM achieved by Reactive Ion Etch of IGZO-TFTBelmonte, A. / Kundu, S. / Subhechha, S. / Chasin, A. / Rassoul, N. / Dekkers, H. / Puliyalil, H. / Seidel, F. / Carolan, P. / Delhougne, R. et al. | 2023
- 1
-
Proactive Power Regulation with Real-time Prediction and Fast Response Guardband for Fine-grained Dynamic Voltage Droop Mitigation on Digital SoCsChen, Xi / Feng, Jiaxiang / Shoukry, Aly / Zhang, Xin / Magod, Raveesh / Desai, Nachiket / Gu, Jie et al. | 2023
- 1
-
Scaled contact length with low contact resistance in monolayer 2D channel transistorsWu, Wen-Chia / Hung, Terry Y.T. / Sathaiya, D. Mahaveer / Fan, Dongxu / Arutchelvan, Goutham / Hsu, Chen-Feng / Su, Sheng-Kai / Chou, Ang Sheng / Chen, Edward / Li, Weisheng et al. | 2023
- 1
-
ANP-G: A 28nm 1.04pJ/SOP Sub-mm2 Spiking and Back-propagation Hybrid Neural Network Asynchronous Olfactory Processor Enabling Few-shot Class-incremental On-chip LearningHuo, Dexuan / Zhang, Jilin / Dai, Xinyu / Zhang, Jian / Qian, Chunqi / Tang, Kea-Tiong / Chen, Hong et al. | 2023
- 1
-
Breakthrough Design Technology Co-optimization using BSPDN and Standard Cell Variants for Maximizing Block-level PPALee, Seungyoung / Jung, Sungyup / Jang, Yunkyeong / Do, Jungho / Yu, Jisu / You, Hyeoungyu / Jeong, Minjae / Lim, Jinyoung / Han, Jiyun / Park, Sangdo et al. | 2023
- 1
-
Exploring Power Savings of Gate-All-Around Cryogenic TechnologyMoroz, Victor / Svizhenko, Alexei / Choi, Munkang / Asenov, Plamen / Lee, Jaehyun et al. | 2023
- 1
-
Thickness-Engineered Extremely-thin Channel High Performance ITO TFTs with Raised S/D Architecture: Record-Low RSD, Highest Moblity (Sub-4 nm TCH Regime), and High VTH TunabilityKang, Yuye / Han, Kaizhen / Chen, Yue / Gong, Xiao et al. | 2023
- 1
-
A Bit-Serial Computing Accelerator for Solving Coupled Partial Differential EquationsMu, Junjie / Yu, Chengshuo / Kim, Tony Tae-Hyoung / Kim, Bongjin et al. | 2023
- 1
-
3D Stackable Vertical Ferroelectric Tunneling Junction (V-FTJ) with on/off Ratio 1500x, Applicable Cell Current, Self-Rectifying Ratio 1000x, Robust Endurance of 10⁹ Cycles, Multilevel and Demonstrated Macro Operation Toward High-Density BEOL NVMsLee, J.-Y. / Chang, F.-S. / Hsiang, K.-Y. / Chen, P.-H. / Luo, Z.-F. / Li, Z.-X. / Tsai, J.-H. / Liu, C. W. / Lee, M. H. et al. | 2023
- 1
-
Accelerating Adaptive Parallel Tempering with FPGA-based p-bitsAadit, Navid Anjum / Mohseni, Masoud / Camsari, Kerem Y. et al. | 2023
- 1
-
An Energy-Efficient Impedance-Boosted Discrete-Time Amplifier Achieving 0.34 Noise Efficiency Factor and 389 MΩ Input ImpedanceAtzeni, Gabriele / Livanelioglu, Can / Recchioni, Lavinia / Arjmandpour, Sina / Jang, Taekwang et al. | 2023
- 1
-
Intel PowerVia Technology: Backside Power Delivery for High Density and High-Performance ComputingHafez, W. / Agnihotri, P. / Asoro, M. / Aykol, M. / Bains, B. / Bambery, R. / Bapna, M. / Barik, A. / Chatterjee, A. / Chiu, P.C. et al. | 2023
- 1
-
An Integrated System Scaling Solution for Future High Performance ComputingTung, Chih-Hang / Yu, Doug C.H. et al. | 2023
- 1
-
FeRAM Recovery up to 200 Periods with Accumulated Endurance 1012 Cycles and an Applicable Array Circuit toward Unlimited eNVM OperationsHsiang, K.-Y. / Lee, J.-Y. / Chang, F.-S. / Lou, Z.-F. / Li, Z.-X. / Li, Z.-H. / Chen, J.-H. / Liu, C. W. / Hou, T.-H. / Lee, M. H. et al. | 2023
- 1
-
A 3nm 256Mb SRAM in FinFET Technology with New Array Banking Architecture and Write-Assist Circuitry Scheme for High-Density and Low-VMIN ApplicationsChang, Jonathan / Chen, Yen-Huei / Chan, Gary / Lin, Kuo-Cheng / Wang, Po-Sheng / Lin, Yangsyu / Chen, Sevic / Lin, Peijiun / Wu, Ching-Wei / Lin, Chih-Yu et al. | 2023
- 1
-
Determining the low-frequency noise source in cryogenic operation of short-channel bulk MOSFETsInaba, Takumi / Oka, Hiroshi / Asai, Hidehiro / Fuketa, Hiroshi / Iizuka, Shota / Kato, Kimihiko / Shitakata, Shunsuke / Fukuda, Koichi / Mori, Takahiro et al. | 2023
- 1
-
A 720 nW Current Sensor with 0-to-15 V Input Common-Mode Range and ±0.5% Gain Error from −40 to 85 °CZamparette, Roger / Makinwa, Kofi et al. | 2023
- 1
-
216 fps 672 × 512 pixel 3 μm Indirect Time-of-Flight Image Sensor with 1-Frame Depth Acquisition for Motion Artifact SuppressionOkada, Chihiro / Yokogawa, Sozo / Yorikado, Yuhi / Honda, Katsumi / Okuno, Naoki / Ikeno, Ryohei / Yamakoshi, Makoto / Ito, Hiroshi / Yoshitsune, Shohei / Desaki, Masatsugu et al. | 2023
- 1
-
A 709.3 TOPS/W Event-Driven Smart Vision SoC with High-Linearity and Reconfigurable MRAM PIMXie, Wenao / Sang, Haoyang / Kwon, Beomseok / Im, Dongseok / Kim, Sangjin / Kim, Sangyeob / Yoo, Hoi-Jun et al. | 2023
- 1
-
Aggressively Scaled Atomic Layer Deposited Amorphous InZnOx Thin Film Transistor Exhibiting Prominent Short Channel Characteristics (SS= 69 mV/dec.; DIBL = 27.8 mV/V) and High Gm(802 μS/μm at VDS = 2V)Liang, Yan-Kui / Zheng, June-Yang / Lin, Yu-Lon / Li, Wei-Li / Lu, Yu-Cheng / Hsieh, Dong-Ru / Peng, Li-Chi / Chou, Tsung-Te / Kei, Chi-Chung / Lu, Chun-Chieh et al. | 2023
- 1
-
Two-Dimensionally Arranged Display Drivers Achieved by OS/Si StructureKomura, Yusuke / Miyata, Shoki / Okamoto, Yuki / Tamatsukuri, Yuki / Inoue, Hiroki / Saito, Toshihiko / Kozuma, Munehiro / Kobayashi, Hidetomo / Onuki, Tatsuya / Yanagisawa, Yuichi et al. | 2023
- 1
-
A 150-MS/s Fully Dynamic SAR-Assisted Pipeline ADC Using a Floating Ring Amplifier and Gain-Enhancing Miller Negative-CSong, Seungheun / Kang, Taewook / Lee, Seungjong / Flynn, Michael P. et al. | 2023
- 1
-
Epitaxial Strain Control of HfxZr1-xO2 with Sub-nm IGZO Seed Layer Achieving EOT=0.44 nm for DRAM Cell CapacitorKim, Seongho / Park, Young Keun / Lee, Gyu Soup / Shin, Eui Joong / Ko, Woon San / Lee, Hi Deok / Lee, Ga Won / Cho, Byung Jin et al. | 2023
- 1
-
A 40 nm 2 kb MTJ-Based Non-Volatile SRAM Macro with Novel Data-Aware Store Architecture for Normally Off ComputingSuzuki, Kenta / Hiraga, Keizo / Bessho, Kazuhiro / Usami, Kimiyoshi / Umebayashi, Taku et al. | 2023
- 1
-
Super-Cutoff Analog Building Blocks for pW/Stage Operation and Demonstration of 78-pW Battery-Less Light-Harvested Wake-Up Receiver down to MoonlightBasu, Joydeep / Fassio, Luigi / Ali, Karim / Alioto, Massimo et al. | 2023
- 1
-
An Indirect Time-of-Flight CMOS Image Sensor Achieving Sub-ms Motion Lagging and 60fps Depth Image from On-chip ISPPark, Jiheon / Kim, Daeyun / Lee, Hoyong / Shin, Seung-Chul / Ki, Myoungoh / Chung, Bumsik / Bae, Myunghan / Kye, Myeonggyun / Ahn, Jonghan / Song, Inho et al. | 2023
- 1
-
Molybdenum Nitride as a Scalable and Thermally Stable pWFM for CFETArimura, H. / Brus, S. / Franco, J. / Oniki, Y. / Vandooren, A. / Conard, T. / Chan, B.-T. / Kannan, B. / Samiee, M. / Li, W. et al. | 2023
- 1
-
A 5.2 Gb/s 3 mm Air-Gap 4.7 pJ/bit Capacitively-Coupled Transceiver for Giant Video Walls Enabled by a Dual-Edge Tracking Clock and Data Recovery LoopYounis, Mohamed Badr / Ahmed, Mostafa / Wang, Tianyu / Abdelrahman, Ahmed / Khalil, Mahmoud / Jose, Anup / Hanumolu, Pavan Kumar et al. | 2023
- 1
-
A 3.36 µm-pitch SPAD photon-counting image sensor using clustered multi-cycle clocked recharging technique with intermediate most-significant-bit readoutTakatsuka, T. / Ogi, J. / Ikeda, Y. / Hizu, K. / Inaoka, Y. / Sakama, S. / Watanabe, I. / Ishikawa, T. / Shimada, S. / Suzuki, J. et al. | 2023
- 1
-
A 169mW Fully-Integrated Ultrasound Imaging Processor Supporting Advanced Modes for Hand-Held DevicesLo, Yi-Lin / Lo, Yu-Chen / Yang, Chia-Hsiang et al. | 2023
- 1
-
A 36nW CMOS Temperature Sensor with <0.1K Inaccuracy and Uniform ResolutionWang, Wei / Jiang, Liwen / Dutta, Shayok / Su, Yumin / Chen, Zhiyu / Yu, Zhanghao / Kemere, Caleb / Yang, Kaiyuan et al. | 2023
- 1
-
A 3.96μm, 124dB Dynamic Range, 6.2mW Stacked Digital Pixel Sensor with Monochrome and Near-Infrared Dual-Channel Global Shutter CaptureChen, Song / Liu, Chiao / Bainbridge, Lyle / Chao, Qing / Chilukuri, Ramakrishna / Gao, Wei / Hammond, Andrew P. / Tsai, Tsung-Hsun / Miyauchi, Ken / Takayanagi, Isao et al. | 2023
- 1
-
Ultrahigh Bias Stability of ALD In2O3 FETs Enabled by High Temperature O2 AnnealingZhang, Zhuocheng / Lin, Zehao / Niu, Chang / Si, Mengwei / Alam, Muhammad A. / Ye, Peide D. et al. | 2023
- 1
-
14nm DRAM Development and ManufacturingKim, Kanguk / Son, Youngwoo / Ryu, Hoin / Lee, Byunghyun / Kim, Jooncheol / Shin, Hyunsu / Kang, Joonyoung / Kim, Jihun / Jeong, Shinwoo / Chae, Kyosuk et al. | 2023
- 1
-
A Wireless, Mechanically Flexible, 25μm-Thick, 65,536-Channel Subdural Surface Recording and Stimulating Microelectrode Array with Integrated AntennasZeng, Nanyu / Jung, Taesung / Sharma, Mohit / Eichler, Guy / Fabbri, Jason / Cotton, R. James / Spinazzi, Eleonora / Youngerman, Brett / Carloni, Luca / Shepard, Kenneth L. et al. | 2023
- 1
-
A 4.1W/mm² Peak Power Density and 77% Peak Efficiency Fully Integrated DC-DC Converter based on Electromagnetically Coupled Class-D LC Oscillators and a Resonant LC Flying Impedance in 22nm FDSOI CMOSNovello, Alessandro / Atzeni, Gabriele / Keller, Tim / Jang, Taekwang et al. | 2023
- 1
-
A 2.5mW 12MHz-BW 69dB SNDR Passive Bandpass ΔΣ ADC with Highpass Noise-Shaping SAR QuantizersOh, Sein / Park, Seunga / Jung, Yoontae / Koo, Jimin / Cho, Donghee / Ha, Sohmyung / Je, Minkyu et al. | 2023
- 1
-
Enabling High-Speed, High-Resolution Space-based Focal Plane Arrays with Analog In-Memory ComputingXiao, T. P. / Wahby, W. S. / Bennett, C. H. / Hays, P. / Agrawal, V. / Marinella, M. J. / Agarwal, S. et al. | 2023
- 1
-
Demonstration of Recovery Annealing on 7-Bits per Cell 3D Flash Memory at Cryogenic Operation for Bit Cost Scalability and SustainabilityAiba, Yuta / Higashi, Yusuke / Tanaka, Hitomi / Tanaka, Hiroki / Kikushima, Fumie / Fujisawa, Toshio / Mukaida, Hideko / Miura, Masayuki / Sanuki, Tomoya et al. | 2023
- 1
-
A 320 x 320 1/5” BSI-CMOS stacked event sensor for low-power vision applicationsSchon, Guillaume / Bourke, Denis / Doisneau, Pierre-Antoine / Finateu, Thomas / Gonzalez, Adrien / Hanajima, Naoyuki / Hitana, Tahar / Vuuren, Lucas Janse Van / Kadry, Moataz / Laurent, Charles et al. | 2023
- 1
-
World’s First GAA 3nm Foundry platform Technology (SF3) with Novel Multi-Bridge-Channel-FET (MBCFET™) ProcessJeong, Jaehun / Lee, Sang Hyeon / Masuoka, Sada-Aki / Min, Shincheol / Lee, Sanghoon / Kim, Seungkwon / Myung, Taehun / Choi, Byungha / Sohn, Chang-Woo / Kim, Sung Won et al. | 2023
- 1
-
E-Core Implementation in Intel 4 with PowerVia (Backside Power) TechnologyShamanna, M. / Abuayob, E. / Aenuganti, G. / Alvares, C. / Antony, J. / Bahudhanam, A. / Chandran, A. / Chew, P. / Chatterjee, A. / Chauhan, B. et al. | 2023
- 1
-
A prototype 5nm custom sensor SoC for Augmented Reality/Virtual Reality targeting Smartglasses with embedded computer vision, audio, security and MLKaiser, Karl / Patil, Dinesh / Beigne, Edith et al. | 2023
- 1
-
Upcoming Challenges of ESD Reliability in DTCO with BS-PDN Routing via BPRsChen, W.-C. / Chen, S.-H. / Veloso, A. / Serbulova, K. / Hellings, G. / Groeseneken, G. et al. | 2023
- 1
-
Front-side and Back-side Power Delivery Network Guidelines for 2nm node High Perf Computing and Mobile SoC applicationsLee, J. / Jeong, J. / Lee, S. / Lim, J. / Song, S. C. / Ekbote, S. / Stevens-Yu, N. / Greenlaw, D. / Baek, R.-H. et al. | 2023
- c1
-
VLSI Technology and Circuits 2023 Cover Page| 2023
- i
-
Technology Technical Session| 2023
- i
-
Copyright Page| 2023
- i
-
Foreword| 2023
- i
-
Program| 2023
- i
-
VLSI Technology and Circuits 2023 Panel Discussion| 2023
- i
-
Workshops| 2023
- i
-
Circuits Technical Session| 2023
- i
-
Committees| 2023
- i
-
Short Courses| 2023