A full 4-channel 6.3Gb/s 60GHz direct-conversion transceiver with low-power analog and digital baseband circuitry (Englisch)
- Neue Suche nach: Okada, Kenichi
- Neue Suche nach: Kondou, Keitarou
- Neue Suche nach: Miyahara, Masaya
- Neue Suche nach: Shinagawa, Masashi
- Neue Suche nach: Asada, Hiroki
- Neue Suche nach: Minami, Ryo
- Neue Suche nach: Yamaguchi, Tatsuya
- Neue Suche nach: Musa, Ahmed
- Neue Suche nach: Tsukui, Yuuki
- Neue Suche nach: Asakura, Yasuo
- Neue Suche nach: Tamonoki, Shinya
- Neue Suche nach: Yamagishi, Hiroyuki
- Neue Suche nach: Hino, Yasufumi
- Neue Suche nach: Sato, Takahiro
- Neue Suche nach: Sakaguchi, Hironori
- Neue Suche nach: Shimasaki, Naoki
- Neue Suche nach: Ito, Toshihiko
- Neue Suche nach: Takeuchi, Yasuaki
- Neue Suche nach: Li, Ning
- Neue Suche nach: Bu, Qinghong
- Neue Suche nach: Murakami, Rui
- Neue Suche nach: Bunsen, Keigo
- Neue Suche nach: Matsushita, Kota
- Neue Suche nach: Noda, Makoto
- Neue Suche nach: Matsuzawa, Akira
- Neue Suche nach: Okada, Kenichi
- Neue Suche nach: Kondou, Keitarou
- Neue Suche nach: Miyahara, Masaya
- Neue Suche nach: Shinagawa, Masashi
- Neue Suche nach: Asada, Hiroki
- Neue Suche nach: Minami, Ryo
- Neue Suche nach: Yamaguchi, Tatsuya
- Neue Suche nach: Musa, Ahmed
- Neue Suche nach: Tsukui, Yuuki
- Neue Suche nach: Asakura, Yasuo
- Neue Suche nach: Tamonoki, Shinya
- Neue Suche nach: Yamagishi, Hiroyuki
- Neue Suche nach: Hino, Yasufumi
- Neue Suche nach: Sato, Takahiro
- Neue Suche nach: Sakaguchi, Hironori
- Neue Suche nach: Shimasaki, Naoki
- Neue Suche nach: Ito, Toshihiko
- Neue Suche nach: Takeuchi, Yasuaki
- Neue Suche nach: Li, Ning
- Neue Suche nach: Bu, Qinghong
- Neue Suche nach: Murakami, Rui
- Neue Suche nach: Bunsen, Keigo
- Neue Suche nach: Matsushita, Kota
- Neue Suche nach: Noda, Makoto
- Neue Suche nach: Matsuzawa, Akira
In:
2012 IEEE International Solid-State Circuits Conference
;
218-220
;
2012
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:A full 4-channel 6.3Gb/s 60GHz direct-conversion transceiver with low-power analog and digital baseband circuitry
-
Beteiligte:Okada, Kenichi ( Autor:in ) / Kondou, Keitarou ( Autor:in ) / Miyahara, Masaya ( Autor:in ) / Shinagawa, Masashi ( Autor:in ) / Asada, Hiroki ( Autor:in ) / Minami, Ryo ( Autor:in ) / Yamaguchi, Tatsuya ( Autor:in ) / Musa, Ahmed ( Autor:in ) / Tsukui, Yuuki ( Autor:in ) / Asakura, Yasuo ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.02.2012
-
Format / Umfang:395751 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Title page| 2012
- 1
-
Welcome!| 2012
- 1
-
Glossary| 2012
- 2
-
Copyright page| 2012
- 3
-
Table of contents| 2012
- 4
-
Reflections| 2012
- 5
-
Dedication| 2012
- 6
-
Foreword| 2012
- 7
-
Session 1 overview: Plenary sessionChandrakasan, Anantha / Hidaka, Hideto et al. | 2012
- 10
-
Flash memory — The great disruptor!Harari, Eli et al. | 2012
- 16
-
The role of semiconductors in the energy landscapePapa, Carmelo et al. | 2012
- 22
-
Awards| 2012
- 24
-
Take the expressway to go greenerYano, Yoichi et al. | 2012
- 31
-
Sustainability in silicon and systems developmentPerlmutter, David et al. | 2012
- 36
-
Session 2 overview: High-bandwidth DRAM & PRAM: Memory subcommitteeChoi, Joo Sun / Takashima, Daisaburo et al. | 2012
- 38
-
A 1.2V 30nm 3.2Gb/s/pin 4Gb DDR4 SDRAM with dual-error detection and PVT-tolerant data-fetch schemeSohn, Kyomin / Na, Taesik / Song, Indal / Shim, Yong / Bae, Wonil / Kang, Sanghee / Lee, Dongsu / Jung, Hangyun / Jeoung, Hanki / Lee, Ki-Won et al. | 2012
- 40
-
A 1.2V 38nm 2.4Gb/s/pin 2Gb DDR4 SDRAM with Bank Group and x4 Half-Page ArchitectureKoo, K. / Ok, S. / Kang, Y. / Kim, S. / Song, C. / Lee, H. / Kim, H. / Kim, Y. / Lee, J. / Oak, S. et al. | 2012
- 40
-
A 1.2V 38nm 2.4Gb/s/pin 2Gb DDR4 SDRAM with bank group and ×4 half-page architectureKoo, Kibong / Ok, Sunghwa / Kang, Yonggu / Kim, Seungbong / Song, Choungki / Lee, Hyeyoung / Kim, Hyungsoo / Kim, Yongmi / Lee, Jeonghun / Oak, Seunghan et al. | 2012
- 42
-
A 1.2V 23nm 6F2 4Gb DDR3 SDRAM with local-bitline sense amplifier, hybrid LIO sense amplifier and dummy-less array architectureLim, Kyu-Nam / Jang, Woong-Ju / Won, Hyung-Sik / Lee, Kang-Yeol / Kim, Hyungsoo / Kim, Dong-Whee / Cho, Mi-Hyun / Kim, Seung-Lo / Kang, Jong-Ho / Park, Keun-Woo et al. | 2012
- 44
-
A 1.2V 30nm 1.6Gb/s/pin 4Gb LPDDR3 SDRAM with input skew calibration and enhanced control schemeBae, Yong-Cheol / Park, Joon-Young / Rhee, Sang Jae / Ko, Seung Bum / Jeong, Yonggwon / Noh, Kwang-Sook / Son, Younghoon / Youn, Jaeyoun / Chu, Yonggyu / Cho, Hyunyoon et al. | 2012
- 46
-
A 20nm 1.8V 8Gb PRAM with 40MB/s program bandwidthChoi, Youngdon / Song, Ickhyun / Park, Mu-Hui / Chung, Hoeju / Chang, Sanghoan / Cho, Beakhyoung / Kim, Jinyoung / Oh, Younghoon / Kwon, Duckmin / Sunwoo, Jung et al. | 2012
- 48
-
A 283.2μW 800Mb/s/pin DLL-based data self-aligner for Through-Silicon Via (TSV) interfaceLee, Hyun-Woo / Lim, Soo-Bin / Song, Junyoung / Koo, Ja-Beom / Kwon, Dae-Han / Kang, Jong-Ho / Kim, Yunsaing / Choi, Young-Jung / Park, Kunwoo / Chung, Byong-Tae et al. | 2012
- 50
-
An 8Gb/s/pin 4pJ/b/pin Single-T-Line dual (base+RF) band simultaneous bidirectional mobile memory I/O interface with inter-channel interference suppressionKim, Yanghyo / Byun, Gyung-Su / Tang, Adrian / Jou, Chewn-Pu / Hsieh, Hsieh-Hung / Reinman, Glenn / Cong, Jason / Chang, Mau-Chung Frank et al. | 2012
- 52
-
A 7Gb/s/link non-contact memory module for multi-drop bus system using energy-equipartitioned coupled transmission lineYun, Won-Joo / Nakano, Shinya / Mizuhara, Wataru / Kosuge, Atsutake / Miura, Noriyuki / Ishikuro, Hiroki / Kuroda, Tadahiro et al. | 2012
- 54
-
Session 3 overview: Processors: High performance digital subcommitteeFriedrich, Joshua / Shin, Jinuk Luke et al. | 2012
- 56
-
A 22nm IA multi-CPU and GPU System-on-ChipDamaraju, Satish / George, Varghese / Jahagirdar, Sanjeev / Khondker, Tanveer / Milstrey, Robert / Sarkar, Sanjib / Siers, Scott / Stolero, Israel / Subbiah, Arun et al. | 2012
- 58
-
A 32-core RISC microprocessor with network accelerators, power management and testability featuresMiller, Brian / Brasili, Derek / Kiszely, Tim / Kuhn, Rob / Mehrotra, Rahul / Salvi, Manan / Kulkarni, Mandar / Varadharajan, Anand / Yin, Shi-Huang / Lin, William et al. | 2012
- 60
-
The next-generation 64b SPARC core in a T4 SoC processorShin, Jinuk Luke / Park, Heechoul / Li, Hongping / Smith, Alan / Choi, Youngmoon / Sathianathan, Harikaran / Dash, Sudesna / Turullols, Sebastian / Kim, Song / Masleid, Robert et al. | 2012
- 62
-
32nm x86 OS-compliant PC on-chip with dual-core Atom® processor and RF WiFi transceiverLakdawala, Hasnain / Schaecher, Mark / Fu, Chang-tsung / Limaye, Rahul / Duster, Jon / Tan, Yulin / Balankutty, Ajay / Alpman, Erkan / Lee, Chun / Suzuki, Satoshi et al. | 2012
- 64
-
An 800MHz 320mW 16-core processor with message-passing and shared-memory inter-core communication mechanismsYu, Zhiyi / You, Kaidi / Xiao, Ruijin / Quan, Heng / Ou, Peng / Ying, Yan / Yang, Haofan / Jing, Ming'e / Zeng, Xiaoyang et al. | 2012
- 66
-
A 280mV-to-1.2V wide-operating-range IA-32 processor in 32nm CMOSJain, Shailendra / Khare, Surhud / Yada, Satish / Ambili, V / Salihundam, Praveen / Ramani, Shiva / Muthukumar, Sriram / Srinivasan, M / Kumar, Arun / Gb, Shasi Kumar et al. | 2012
- 68
-
Resonant clock design for a power-efficient high-volume x86–64 microprocessorSathe, Visvesh / Arekapudi, Srikanth / Ouyang, Charles / Papaefthymiou, Marios / Ishii, Alexander / Naffziger, Samuel et al. | 2012
- 70
-
A reconfigurable distributed all-digital clock generator core with SSC and skew correction in 22nm high-k tri-gate LP CMOSLi, Y. William / Ornelas, Carlos / Kim, Hyung Seok / Lakdawala, Hasnain / Ravi, Ashoke / Soumyanath, Krishnamurthy et al. | 2012
- 72
-
Session 4 overview: RF techniques: RF subcommitteeZargari, Masoud / Hong, Songcheol et al. | 2012
- 74
-
A blocker-tolerant wideband noise-cancelling receiver with a 2dB noise figureMurphy, David / Hafez, Amr / Mirzaei, Ahmad / Mikhemar, Mohyee / Darabi, Hooman / Chang, Mau-Chung Frank / Abidi, Asad et al. | 2012
- 76
-
8-Path tunable RF notch filters for blocker suppressionGhaffari, Amir / Klumperink, Eric / Nauta, Bram et al. | 2012
- 78
-
A wideband IM3 cancellation technique for CMOS attenuatorsCheng, Wei / Alink, Mark Oude / Annema, Anne Johan / Wienk, Gerard / Nauta, Bram et al. | 2012
- 80
-
A 1-to-2.5GHz phased-array IC based on gm-RC all-pass time-delay cellsGarakoui, Seyed Kasra / Klumperink, Eric A. M. / Nauta, Bram / Van Vliet, Frank F. E et al. | 2012
- 82
-
A fully integrated dual-mode CMOS power amplifier for WCDMA applicationsKoo, Bonhoon / Joo, Taehwan / Na, Yoosam / Hong, Songcheol et al. | 2012
- 84
-
A 28.3mW PA-closed loop for linearity and efficiency improvement integrated in a +27.1dBm WCDMA CMOS power amplifierKousai, Shouhei / Onizuka, Kohei / Yamaguchi, Takashi / Kuriyama, Yasuhiko / Nagaoka, Masami et al. | 2012
- 86
-
A fully integrated triple-band CMOS power amplifier for WCDMA mobile handsetsKanda, Kouichi / Kawano, Yoichi / Sasaki, Takao / Shirai, Noriaki / Tamura, Tetsuro / Kawai, Shigeaki / Kudo, Masahiro / Murakami, Tomotoshi / Nakamoto, Hiroyuki / Hasegawa, Nobumasa et al. | 2012
- 88
-
A 45nm SOI CMOS Class-D mm-Wave PA with >10Vpp differential swingSarkas, Ioannis / Balteanu, Andreea / Dacquay, Eric / Tomkins, Alexander / Voinigescu, Sorin et al. | 2012
- 90
-
Session 5 overview: Audio and power converters: Analog subcommitteeKi, Wing-Hung / Hurwitz, Jed et al. | 2012
- 92
-
An 8Ω 2.5W 1%-THD 104dB(A)-dynamic-range Class-D audio amplifier with an ultra-low EMI system and current sensing for speaker protectionNagari, Angelo / Allier, Emmanuel / Amiard, Francois / Binet, Vincent / Fraisse, Christian et al. | 2012
- 92
-
An 8 Omega .5W 1%-THD 104dB(A)-Dynamic-Range Class-D Audio Amplifier with an Ultra-Low EMI System and Current Sensing for Speaker ProtectionNagari, A. / Allier, E. / Amiard, F. / Binet, V. / Fraisse, C. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 94
-
A 1.5W 10V-output Class-D amplifier using a boosted supply from a single 3.3V input in standard 1.8V/3.3V 0.18μm CMOSSerneels, Bert / Geukens, Eldert / De Muer, Bram / Piessens, Tim et al. | 2012
- 96
-
A 0.028% THD+N, 91% power-efficiency, 3-level PWM Class-D amplifier with a true differential front-endKwon, Sunwoo / Kim, Injeong / Yi, Shinyoung / Kang, Sangheyub / Lee, Sangheon / Hwang, Taeho / Moon, Byoungkwon / Choi, Yunyoung / Sung, Hosung / Koh, Jinseok et al. | 2012
- 98
-
A 41-phase switched-capacitor power converter with 3.8mV output ripple and 81% efficiency in baseline 90nm CMOSPique, Gerard Villar et al. | 2012
- 98
-
A 41-Phase Switched-Capacitor Power Converter with 3.8mV Outpur Ripple and 81% Efficiency in Baseline 90nm CMOSPique, G.V. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 100
-
A high-voltage CMOS IC and embedded system for distributed photovoltaic energy optimization with over 99% effective conversion efficiency and insertion loss below 0.1%Stauth, Jason / Seeman, Michael / Kesarwani, Kapil et al. | 2012
- 102
-
A maximum power-point tracker without digital signal processing in 0.35μm CMOS for automotive applicationsEnne, Reinhard / Nikolic, Miodrag / Zimmermann, Horst et al. | 2012
- 104
-
A 40mV transformer-reuse self-startup boost converter with MPPT control for thermoelectric energy harvestingIm, Jong-Pil / Wang, Se-Won / Lee, Kang-Ho / Woo, Young-Jin / Yuk, Young-Sub / Kong, Tae-Hwang / Hong, Sung-Wan / Ryu, Seung-Tak / Cho, Gyu-Hyeong et al. | 2012
- 106
-
A 330nA energy-harvesting charger with battery management for solar and thermoelectric energy harvestingKadirvel, Karthik / Ramadass, Yogesh / Lyles, Umar / Carpenter, John / Ivanov, Vadim / McNeil, Vince / Chandrakasan, Anantha / Lum-Shue-Chan, Brian et al. | 2012
- 108
-
Session 6 overview: Medical, displays and imagers: Imagers, MEMS, medical and displays subcommitteeOike, Yusuke / Ghovanloo, Maysam et al. | 2012
- 110
-
A sampling-based 128×128 direct photon-counting X-ray image sensor with 3 energy bins and spatial resolution of 60μm/pixelKim, Hyun-Sik / Han, Sang-Wook / Yang, Jun-Hyeok / Kim, Sunil / Kim, Young / Kim, Sangwook / Yoon, Dae-Kun / Lee, Jun-Su / Park, Jae-Chul / Sung, Younghun et al. | 2012
- 110
-
A Sampling-Based 128x128 Direct Photon-Counting X-Ray Image Sensor with 3 Energy Bins and Spatial Resolution of 60μm/pixelKim, H.-S. / Han, S.-W. / Yang, J.-H. / Kim, S. / Kim, Y. / Yoon, D.-K. / Lee, J.-S. / Park, J.-C. / Sung, Y. / Institute of Electrical and Electronics Engineers et al. | 2012
- 112
-
A 1.36μW adaptive CMOS image sensor with reconfigurable modes of operation from available energy/illumination for distributed wireless sensor networkChoi, Jaehyuk / Park, Seokjun / Cho, Jihyun / Yoon, Euisik et al. | 2012
- 114
-
A 0.5V 4.95μW 11.8fps PWM CMOS imager with 82dB dynamic range and 0.055% fixed-pattern noiseChung, Meng-Ting / Hsieh, Chih-Cheng et al. | 2012
- 116
-
A capacitive touch controller robust to display noise for ultrathin touch screen displaysKim, Ki-Duk / Byun, San-Ho / Choi, Yoon-Kyung / Baek, Jong-Hak / Cho, Hwa-Hyun / Park, Jong-Kang / Ahn, Hae-Yong / Lee, Chang-Ju / Cho, Min-Soo / Lee, Joo-Hyeon et al. | 2012
- 118
-
A 160μA biopotential acquisition ASIC with fully integrated IA and motion-artifact suppressionVan Helleputte, Nick / Kim, Sunyoung / Kim, Hyejung / Kim, Jong Pal / Van Hoof, Chris / Yazicioglu, Refet Firat et al. | 2012
- 120
-
CMOS capacitive biosensor with enhanced sensitivity for label-free DNA detectionLee, Kang-Ho / Choi, Sukhwan / Lee, Jeong Oen / Yoon, Jun-Bo / Cho, Gyu-Hyeong et al. | 2012
- 122
-
A 100Mphoton/s time-resolved mini-silicon photomultiplier with on-chip fluorescence lifetime estimation in 0.13μm CMOS imaging technologyTyndall, David / Rae, Bruce / Li, David / Richardson, Justin / Arlt, Jochen / Henderson, Robert et al. | 2012
- 124
-
A wireless magnetoresistive sensing system for an intra-oral tongue-computer interfacePark, Hangue / Gosselin, Benoit / Kiani, Mehdi / Lee, Hyung-Min / Kim, Jeonghee / Huo, Xueliang / Ghovanloo, Maysam et al. | 2012
- 126
-
A CMOS 10kpixel baseline-free magnetic bead detector with column-parallel readout for miniaturized immunoassaysGambini, Simone / Skucha, Karl / Liu, Paul / Kim, Jungkyu / Krigel, Reut / Mathies, Richard / Boser, Bernhard et al. | 2012
- 128
-
Session 7 overview: Multi-Gb/s receiver and parallel I/O techniques: Wireline subcommitteePayne, Robert / Saito, Tatsuya et al. | 2012
- 130
-
An 18.6Gb/s double-sampling receiver in 65nm CMOS for ultra-low-power optical communicationNazari, Meisam Honarvar / Emami-Neyestanak, Azita et al. | 2012
- 132
-
A 0.4mW/Gb/s 16Gb/s near-ground receiver front-end with replica transconductance termination calibrationKaviani, Kambiz / Amirkhany, Amir / Huang, Charlie / Le, Phuong / Madden, Chris / Saito, Keisuke / Sano, Koji / Murugan, Vinod / Beyene, Wendem / Chang, Ken et al. | 2012
- 134
-
A 19Gb/s serial link receiver with both 4-tap FFE and 5-tap DFE functions in 45nm SOI CMOSAgrawal, Ankur / Bulzacchelli, John / Dickson, Timothy / Liu, Yong / Tierno, Jose / Friedman, Daniel et al. | 2012
- 136
-
An 8GB/s quad-skew-cancelling parallel transceiver in 90nm CMOS for high-speed DRAM interfaceKim, Young-Sik / Lee, Seon-Kyoo / Bae, Seung-Jun / Sohn, Young-Soo / Lee, Jung-Bae / Choi, Joo Sun / Park, Hong-June / Sim, Jae-Yoon et al. | 2012
- 138
-
A 4.1pJ/b 16Gb/s coded differential bidirectional parallel electrical linkAmirkhany, Amir / Kaviani, Kambiz / Abbasfar, Aliazam / Shuaeb, Fazeel / Beyene, Wendem / Hoshino, Chikara / Madden, Chris / Chang, Ken / Yuan, Chuck et al. | 2012
- 140
-
A 5Gb/s single-ended parallel receiver with adaptive FEXT cancellationLee, Seon-Kyoo / Ha, Hyunsoo / Park, Hong-June / Sim, Jae-Yoon et al. | 2012
- 142
-
A compact low-power 3D I/O in 45nm CMOSLiu, Yong / Luk, Wing / Friedman, Daniel et al. | 2012
- 144
-
Session 8 overview: Delta-sigma converters: Data converters subcommitteeBrandt, Brian / Mitteregger, Gerhard et al. | 2012
- 146
-
An LC bandpass ΔΣ ADC with 70dB SNDR over 20MHz bandwidth using CMOS DACsHarrison, Jeffrey / Nesselroth, Michal / Mamuad, Robert / Behzad, Arya / Adams, Andrew / Avery, Steve et al. | 2012
- 146
-
An LC Bandpass Lambda Sigma ADC with 70dB SNDR Over 20MHz Bandwidth Using CMOS DACsHarrison, J. / Nesselroth, M. / Mamuad, R. / Behzad, A. / Adams, A. / Avery, S. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 148
-
A 12mW low-power continuous-time bandpass ΔΣ modulator with 58dB SNDR and 24MHz bandwidth at 200MHz IFChae, Hyungil / Jeong, Jaehun / Manganaro, Gabriele / Flynn, Michael et al. | 2012
- 150
-
A DC-to-1GHz tunable RF ΔΣ ADC achieving DR = 74dB and BW = 150MHz at f0 = 450MHz using 550mWShibata, Hajime / Schreier, Richard / Yang, Wenhua / Shaikh, Ali / Paterson, Donald / Caldwell, Trevor / Alldred, David / Lai, Ping Wing et al. | 2012
- 152
-
A 16mW 78dB-SNDR 10MHz-BW CT- Lambda Sigma ADC Using Residue-Cancelling VCO-Based QuantizerReddy, K. / Rao, S. / Inti, R. / Young, B. / Elshazly, A. / Talegaonkar, M. / Hanumolu, P.K. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 152
-
A 16mW 78dB-SNDR 10MHz-BW CT-ΔΣ ADC using residue-cancelling VCO-based quantizerReddy, Karthikeyan / Rao, Sachin / Inti, Rajesh / Young, Brian / Elshazly, Amr / Talegaonkar, Mrunmay / Hanumolu, Pavan Kumar et al. | 2012
- 154
-
A 72dB-DR Delta Sigma CT Modulator Using Digitally Estimated Auxiliary DAC Linearization Achieving 88fJ/conv in a 25MHz BWWitte, P. / Kauffman, J.G. / Becker, J. / Manoli, Y. / Ortmanns, M. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 154
-
A 72dB-DR ΔΣ CT modulator using digitally estimated auxiliary DAC linearization achieving 88fJ/conv in a 25MHz BWWitte, Pascal / Kauffman, John G. / Becker, Joachim / Manoli, Yiannos / Ortmanns, Maurits et al. | 2012
- 156
-
A 15mW 3.6GS/s CT-ΔΣ ADC with 36MHz bandwidth and 83dB DR in 90nm CMOSShettigar, Pradeep / Pavan, Shanthi et al. | 2012
- 156
-
A 15mW 3.6GS/s CT- Lambda Sigma ADC with 36MHz Bandwidth and 83dB DR in 90nm CMOSShettigar, P. / Pavan, S. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 158
-
A 20mW 61dB SNDR (60MHz BW) 1b 3rd-order continuous-time delta-sigma modulator clocked at 6GHz in 45nm CMOSSrinivasan, Venkatesh / Wang, Victoria / Satarzadeh, Patrick / Haroun, Baher / Corsi, Marco et al. | 2012
- 158
-
20mW 61dB SNDR (60MHz BW) 1b 3^r^d-Order Continuous-Time Delta-Sigma Modulator Clocked at 6GHz in 45nm CMOSSrinivasan, V. / Wang, V. / Satarzadeh, P. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 160
-
Session 9 overview: Wireless transceiver techniques: Wireless subcommitteeMattisson, Sven / Kousai, Shouhei et al. | 2012
- 162
-
A 40MHz-to-1GHz fully integrated multistandard silicon tuner in 80nm CMOSGreenberg, Jody / De Bernardinis, Fernando / Tinella, Carlo / Milani, Antonio / Pan, Johnny / Uggetti, Paola / Sosio, Marco / Dai, Shaoan / Tang, Sam / Cesura, Giovanni et al. | 2012
- 164
-
A multiband multimode transmitter without driver amplifierOliaei, Omid / Kirschenmann, Mark / Newman, David / Hausmann, Kurt / Xie, Haolu / Rakers, Patrick / Rahman, Mahib / Gomez, Michael / Yu, Chuanzhao / Gilsdorf, Benjamin et al. | 2012
- 166
-
Active feedback receiver with integrated tunable RF channel selectivity, distortion cancelling, 48dB stopband rejection and >+12dBm wideband IIP3, occupying <0.06mm2 in 65nm CMOSYoussef, Shadi / van der Zee, Ronan / Nauta, Bram et al. | 2012
- 166
-
Active Feedback Receiver with Integrated Tunable RF Channel Selectivity, Distortion Cancelling, 48dB Stopband Rejection and >+12dBm Wideband IIP3, Occupying <0.06mm2 in 65nm CMOSYoussef, S. / van der Zee, R. / Nauta, B. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 168
-
A 20dBm 2.4GHz digital outphasing transmitter for WLAN application in 32nm CMOSMadoglio, Paolo / Ravi, Ashoke / Xu, Hongtao / Chandrashekar, Kailash / Verhelst, Marian / Pellerano, Stefano / Cuellar, Luis / Aguirre, Mariano / Sajadieh, Masoud / Degani, Ofir et al. | 2012
- 170
-
A 60GHz outphasing transmitter in 40nm CMOS with 15.6dBm output powerZhao, Dixian / Kulkarni, Shailesh / Reynaert, Patrick et al. | 2012
- 172
-
A 4-in-1 (WiFi/BT/FM/GPS) connectivity SoC with enhanced co-existence performance in 65nm CMOSChung, Yuan-Hung / Chen, Min / Hong, Wei-Kai / Lai, Jie-Wei / Wong, Sheng-Jau / Kuan, Chien-Wei / Chu, Hong-Lin / Lee, Chihun / Liao, Chih-Fan / Liu, Hsuan-Yu et al. | 2012
- 174
-
A 1.5-to-5.0GHz input-matched +2dBm P1dB all-passive switched-capacitor beamforming receiver front-end in 65nm CMOSSoer, Michiel / Klumperink, Eric / Nauta, Bram / van Vliet, Frank et al. | 2012
- 176
-
Session 10 overview: High-performance digital: High performance digital subcommitteeChua-Eoan, Lew / Yang, Se-Hyun et al. | 2012
- 178
-
A 280mV-to-1.1V 256b reconfigurable SIMD vector permutation engine with 2-dimensional shuffle in 22nm CMOSHsu, Steven / Agarwal, Amit / Anders, Mark / Mathew, Sanu / Kaul, Himanshu / Sheikh, Farhana / Krishnamurthy, Ram et al. | 2012
- 180
-
A source-synchronous 90Gb/s capacitively driven serial on-chip link over 6mm in 65nm CMOSWalter, Dennis / Hoppner, Sebastian / Eisenreich, Holger / Ellguth, Georg / Henker, Stephan / Hanzsche, Stefan / Schuffny, Rene / Winter, Markus / Fettweis, Gerhard et al. | 2012
- 182
-
A 1.45GHz 52-to-162GFLOPS/W variable-precision floating-point fused multiply-add unit with certainty tracking in 32nm CMOSKaul, Himanshu / Anders, Mark / Mathew, Sanu / Hsu, Steven / Agarwal, Amit / Sheikh, Farhana / Krishnamurthy, Ram / Borkar, Shekhar et al. | 2012
- 184
-
A 2.05GVertices/s 151mW lighting accelerator for 3D graphics vertex and pixel shading in 32nm CMOSSheikh, Farhana / Mathew, Sanu / Anders, Mark / Kaul, Himanshu / Hsu, Steven / Agarwal, Amit / Krishnamurthy, Ram / Borkar, Shekhar et al. | 2012
- 186
-
A 3D system prototype of an eDRAM cache stacked over processor-like logic using through-silicon viasWordeman, Matt / Silberman, Joel / Maier, Gary / Scheuermann, Michael et al. | 2012
- 188
-
3D-MAPS: 3D Massively parallel processor with stacked memoryKim, Dae Hyun / Athikulwongse, Krit / Healy, Michael / Hossain, Mohammad / Jung, Moongon / Khorosh, Ilya / Kumar, Gokul / Lee, Young-Joon / Lewis, Dean / Lin, Tzu-Wei et al. | 2012
- 190
-
Centip3De: A 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 coresFick, David / Dreslinski, Ronald G. / Giridhar, Bharan / Kim, Gyouho / Seo, Sangwon / Fojtik, Matthew / Satpathy, Sudhir / Lee, Yoonmyung / Kim, Daeyeon / Liu, Nurrachman et al. | 2012
- 192
-
K computer: 8.162 PetaFLOPS massively parallel scalar supercomputer built with over 548k coresMiyazaki, Hiroyuki / Kusano, Yoshihiro / Okano, Hiroshi / Nakada, Tatsumi / Seki, Ken / Shimizu, Toshiyuki / Shinjo, Naoki / Shoji, Fumiyoshi / Uno, Atsuya / Kurokawa, Motoyoshi et al. | 2012
- 194
-
Session 11 overview: Sensors and MEMS: Imagers, MEMS, medical and displays subcommitteeHagleitner, Christoph / Ortmanns, Maurits et al. | 2012
- 196
-
A ΔΣ interface for MEMS accelerometers using electrostatic spring-constant modulation for cancellation of bondwire capacitance driftLajevardi, Pedram / Petkov, Vladimir / Murmann, Boris et al. | 2012
- 196
-
A Lambda Sigma Interface for MEMS Accelerometers Using Electrostatic Spring-Constant Modulation for Cancellation of Bondwire Capacitance DriftLajevardi, P. / Petkov, V. / Murmann, B. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 198
-
A capacitance-to-digital converter for displacement sensing with 17b resolution and 20μs conversion timeXia, Sha / Makinwa, Kofi / Nihtianov, Stoyan et al. | 2012
- 200
-
A 50μW biasing feedback loop with 6ms settling time for a MEMS microphone with digital outputvan den Boom, Jeroen et al. | 2012
- 202
-
ASIC for a resonant wireless pressure-sensing system for harsh environments achieving ±2% error between −40 and 150°C using Q-based temperature compensationRocznik, Marko / Henrici, Fabian / Has, Remigius et al. | 2012
- 204
-
A +/- 0.4^oC (3)-70 to 200^oC Time-Domain Temperature Sensor Based on Heat Diffusion in Si and SiO~2van Vroonhoven, C. / D Aquino, D. / Makinwa, K. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 204
-
A ±0.4°C (3σ) −70 to 200°C time-domain temperature sensor based on heat diffusion in Si and SiO2van Vroonhoven, Caspar / D'Aquino, Dan / Makinwa, Kofi et al. | 2012
- 206
-
A temperature-to-digital converter for a MEMS-based programmable oscillator with better than ±0.5ppm frequency stabilityPerrott, Michael / Salvia, Jim / Lee, Fred / Partridge, Aaron / Mukherjee, Shouvik / Arft, Carl / Kim, Jin-Tae / Arumugam, Niveditha / Gupta, Pavan / Tabatabaei, Sassan et al. | 2012
- 208
-
A CMOS temperature sensor with a voltage-calibrated inaccuracy of ±0.15°C (3σ) from −55 to 125°CSouri, Kamran / Chae, Youngcheol / Makinwa, Kofi et al. | 2012
- 208
-
A CMOS Temperature Sensor with a Voltage-Calibrated Inaccuracy of +/-0.15 ^oC (3) From -55 to 125^o CSouri, K. / Chae, Y. / Makinwa, K. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 210
-
Ratiometric BJT-based thermal sensor in 32nm and 22nm technologiesShor, Joseph / Luria, Kosta / Zilberman, Dror et al. | 2012
- 212
-
Session 12 overview: Multimedia and communications SoCs: Energy-efficient digital subcommitteeNam, Byeong-Gyu / Morton, Shannon et al. | 2012
- 214
-
A 32nm high-k metal gate application processor with GHz multi-core CPUYang, Se-Hyun / Lee, Seogjun / Lee, Jae Young / Cho, Jeonglae / Lee, Hoi-Jin / Cho, Dongsik / Heo, Junghun / Cho, Sunghoon / Shin, Youngmin / Yun, Sunghee et al. | 2012
- 216
-
A 335Mb/s 3.9mm2 65nm CMOS flexible MIMO detection-decoding engine achieving 4G wireless data ratesWinter, Markus / Kunze, Steffen / Adeva, Esther Perez / Mennenga, Bjorn / Matus, Emil / Fettweis, Gerhard / Eisenreich, Holger / Ellguth, Georg / Hoppner, Sebastian / Scholze, Stefan et al. | 2012
- 218
-
A full 4-channel 6.3Gb/s 60GHz direct-conversion transceiver with low-power analog and digital baseband circuitryOkada, Kenichi / Kondou, Keitarou / Miyahara, Masaya / Shinagawa, Masashi / Asada, Hiroki / Minami, Ryo / Yamaguchi, Tatsuya / Musa, Ahmed / Tsukui, Yuuki / Asakura, Yasuo et al. | 2012
- 220
-
A 320mW 342GOPS real-time moving object recognition processor for HD 720p video streamsOh, Jinwook / Kim, Gyeonghoon / Park, Junyoung / Hong, Injoon / Lee, Seungjin / Yoo, Hoi-Jun et al. | 2012
- 222
-
A 464GOPS 620GOPS/W heterogeneous multi-core SoC for image-recognition applicationsTanabe, Yasuki / Sumiyoshi, Masato / Nishiyama, Manabu / Yamazaki, Itaru / Fujii, Shinsuke / Kimura, Katsuyuki / Aoyama, Takuma / Banno, Moriyasu / Hayashi, Hiroo / Miyamori, Takashi et al. | 2012
- 224
-
A 2Gpixel/s H.264/AVC HP/MVC video decoder chip for Super Hi-Vision and 3DTV/FTV applicationsZhou, Dajiang / Zhou, Jinjia / Zhu, Jiayi / Liu, Peilin / Goto, Satoshi et al. | 2012
- 226
-
A true multistandard, programmable, low-power, full HD video-codec engine for smartphone SoCMehendale, Mahesh / Das, Subrangshu / Sharma, Mohit / Mody, Mihir / Reddy, Ratna / Meehan, Joseph / Tamama, Hideo / Carlson, Brian / Polley, Mike et al. | 2012
- 228
-
Session 13 overview: High-performance embedded SRAM: Memory subcommitteeChang, Leland / Clinton, Michael et al. | 2012
- 230
-
A 4.6GHz 162Mb SRAM design in 22nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitryKarl, Eric / Wang, Yih / Ng, Yong-Gee / Guo, Zheng / Hamzaoglu, Fatih / Bhattacharya, Uddalak / Zhang, Kevin / Mistry, Kaizad / Bohr, Mark et al. | 2012
- 232
-
A 6T SRAM with a carrier-injection scheme to pinpoint and repair fails that achieves 57% faster read and 31% lower read energyMiyaji, Kousuke / Suzuki, Toshikazu / Miyano, Shinji / Takeuchi, Ken et al. | 2012
- 234
-
Capacitive-coupling wordline boosting with self-induced VCC collapse for write VMIN reduction in 22-nm 8T SRAMKulkarni, Jaydeep / Geuskens, Bibiche / Karnik, Tanay / Khellah, Muhammad / Tschanz, James / De, Vivek et al. | 2012
- 236
-
A 28nm 360ps-access-time two-port SRAM with a time-sharing scheme to circumvent read disturbsIshii, Yuichiro / Tsukamoto, Yasumasa / Nii, Koji / Fujiwara, Hidehiro / Yabuuchi, Makoto / Tanaka, Koji / Tanaka, Shinji / Shimazaki, Yasuhisa et al. | 2012
- 238
-
Session 14 overview: Digital clocking and PLLs: High-performance digital subcommitteeHill, Anthony / Hayashi, Hiroo et al. | 2012
- 240
-
A 0.004mm^2 250μW Lambda Sigma TDC with Time-Difference Accumulator and a 0.012mm^2 2.5mW Bang-Bang Digital PLL Using PRNG for Low-Power SoC ApplicationsHong, J.-P. / Kim, S.-J. / Liu, J. / Xing, N. / Jang, T.-K. / Park, J. / Kim, J. / Kim, T. / Park, H. / Institute of Electrical and Electronics Engineers et al. | 2012
- 240
-
A 0.004mm2 250μW ΔΣ TDC with time-difference accumulator and a 0.012mm2 2.5mW bang-bang digital PLL using PRNG for low-power SoC applicationsHong, Jong-Phil / Kim, Sung-Jin / Liu, Jenlung / Xing, Nan / Jang, Tae-Kwang / Park, Jaejin / Kim, Jihyun / Kim, Taeik / Park, Hojin et al. | 2012
- 242
-
A 1.5GHz 890μW digital MDLL with 400fsrms integrated jitter, −55.6dBc reference spur and 20fs/mV supply-noise sensitivity using 1b TDCElshazly, Amr / Inti, Rajesh / Young, Brian / Hanumolu, Pavan Kumar et al. | 2012
- 244
-
A 6.7MHz-to-1.24GHz 0.0318mm2 fast-locking all-digital DLL in 90nm CMOSHsieh, Min-Han / Chen, Liang-Hsin / Liu, Shen-Iuan / Chen, Charlie Chung-Ping et al. | 2012
- 246
-
A TDC-less ADPLL with 200-to-3200MHz range and 3mW power dissipation for mobile SoC clocking in 22nm CMOSAugust, Nathaniel / Lee, Hyung-Jin / Vandepas, Martin / Parker, Rachael et al. | 2012
- 248
-
A digitally stabilized type-III PLL using ring VCO with 1.01psrms integrated jitter in 65nm CMOSSai, Akihide / Kobayashi, Yuka / Saigusa, Shigehito / Watanabe, Osamu / Itakura, Tetsuro et al. | 2012
- 250
-
Session 15 overview: Mm-Wave and THz techniques: RF subcommitteeAfshari, Ehsan / Palaskas, Yorgos et al. | 2012
- 252
-
A 1kpixel CMOS camera chip for 25fps real-time terahertz imaging applicationsSherry, Hani / Grzyb, Janusz / Zhao, Yan / Hadi, Richard Al / Cathelin, Andreia / Kaiser, Andreas / Pfeiffer, Ullrich et al. | 2012
- 254
-
280GHz and 860GHz image sensors using Schottky-barrier diodes in 0.13μm digital CMOSHan, Ruonan / Zhang, Yaming / Kim, Youngwan / Kim, Dae Yeon / Shichijo, Hisashi / Afshari, Ehsan / Kenneth, O et al. | 2012
- 256
-
A 0.28THz 4×4 power-generation and beam-steering arraySengupta, Kaushik / Hajimiri, Ali et al. | 2012
- 256
-
A 0.28THz 4x4 Power-Generation and Beam-Steering ArraySengupta, K. / Hajimiri, A. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 258
-
A 283-to-296GHz VCO with 0.76mW peak output power in 65nm CMOSTousi, Yahya M. / Momeni, Omeed / Afshari, Ehsan et al. | 2012
- 260
-
A 1V 19.3dBm 79GHz power amplifier in 65nm CMOSWang, Kun-Yin / Chang, Tao-Yao / Wang, Chorng-Kuang et al. | 2012
- 262
-
A 9% power efficiency 121-to-137GHz phase-controlled push-push frequency quadrupler in 0.13μm SiGe BiCMOSWang, Yong / Goh, Wang Ling / Xiong, Yong-Zhong et al. | 2012
- 264
-
A 144GHz 0.76cm-resolution sub-carrier SAR phase radar for 3D imaging in 65nm CMOSTang, Adrian / Virbila, G. / Murphy, D. / Hsiao, F. / Wang, Y. H. / Gu, Q. J. / Xu, Z. / Wu, Y. / Zhu, M. / Chang, Mau-Chung Frank et al. | 2012
- 266
-
A 2Gb/s-throughput CMOS transceiver chipset with in-package antenna for 60GHz short-range wireless communicationMitomo, Toshiya / Tsutsumi, Yukako / Hoshino, Hiroaki / Hosoya, Masahiro / Wang, Tong / Tsubouchi, Yuta / Tachibana, Ryoichi / Sai, Akihide / Kobayashi, Yuka / Kurose, Daisuke et al. | 2012
- 268
-
A low-power 57-to-66GHz transceiver in 40nm LP CMOS with −17dB EVM at 7Gb/sVidojkovic, Vojkan / Mangraviti, Giovanni / Khalaf, Khaled / Szortyka, Viki / Vaesen, Kristof / Van Thillo, Wim / Parvais, Bertrand / Libois, Mike / Thijs, Steven / Long, John R. et al. | 2012
- 270
-
A 4-path 42.8-to-49.5GHz LO generation with automatic phase tuning for 60GHz phased-array receiversWu, Liang / Li, Alvin / Luong, Howard et al. | 2012
- 272
-
Session 16 overview: Switching power control techniques: Analog subcommitteeHaroun, Baher / Cho, Gyu-Hyeong et al. | 2012
- 274
-
Near-independently regulated 5-output single-inductor DC-DC buck converter delivering 1.2W/mm2 in 65nm CMOSKuan, Chien-Wei / Lin, Hung-Chih et al. | 2012
- 276
-
A high-stability emulated absolute current hysteretic control single-inductor 5-output switching DC-DC converter with energy sharing and balancingWang, Se-Won / Cho, Gyu-Ha / Cho, Gyu-Hyeong et al. | 2012
- 278
-
Off-the-line primary-side regulation LED lamp driver with single-stage PFC and TRIAC dimming using LED forward-voltage and duty-variation tracking controlHwang, Jong Tae / Jung, Moon Sang / Kim, Dae Ho / Lee, Jun Hong / Jung, Min Ho / Shin, Jong Ha et al. | 2012
- 280
-
A 0.18μm CMOS 91%-efficiency 0.1-to-2A scalable buck-boost DC-DC converter for LED driversMalcovati, Piero / Belloni, Massimiliano / Gozzini, Fabio / Bazzani, Cristiano / Baschirotto, Andrea et al. | 2012
- 282
-
A 92%-efficiency wide-input-voltage-range switched-capacitor DC-DC converterNg, Vincent / Sanders, Seth et al. | 2012
- 284
-
An optimized driver for SiC JFET-based switches delivering more than 99% efficiencyNorling, Karl / Lindholm, Christian / Draxelmayr, Dieter et al. | 2012
- 286
-
An adaptive reconfigurable active voltage doubler/rectifier for extended-range inductive power transmissionLee, Hyung-Min / Ghovanloo, Maysam et al. | 2012
- 288
-
Voltage-Boosting Wireless Power Delivery System with Fast Load Tracker by Lambda Sigma -Modulated Sub-Harmonic Resonant SwitchingShinoda, R. / Tomita, K. / Hasegawa, Y. / Ishikuro, H. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 288
-
Voltage-boosting wireless power delivery system with fast load tracker by ΔΣ-modulated sub-harmonic resonant switchingShinoda, Ryota / Tomita, Kazutoshi / Hasegawa, Yuya / Ishikuro, Hiroki et al. | 2012
- 290
-
Session 17 overview: Diagnostic and therapeutic technologies for health: Technology directions subcommitteeBurdett, Alison / Hsueh, Fu-Lung et al. | 2012
- 292
-
An 8-channel scalable EEG acquisition SoC with fully integrated patient-specific seizure classification and recording processorYoo, Jerald / Yan, Long / El-Damak, Dina / Altaf, Muhammad Bin / Shoeb, Ali / Yoo, Hoi-Jun / Chandrakasan, Anantha et al. | 2012
- 294
-
A 259.6μW nonlinear HRV-EEG chaos processor with body channel communication interface for mental health monitoringRoh, Taehwan / Hong, Sunjoo / Cho, Hyunwoo / Yoo, Hoi-Jun et al. | 2012
- 296
-
A sub-10nA DC-balanced adaptive stimulator IC with multimodal sensor for compact electro-acupuncture systemSong, Kiseok / Lee, Hyungwoo / Hong, Sunjoo / Cho, Hyunwoo / Yoo, Hoi-Jun et al. | 2012
- 298
-
A batteryless 19μW MICS/ISM-band energy harvesting body area sensor node SoCZhang, Fan / Zhang, Yanqing / Silver, Jason / Shakhsheer, Yousef / Nagaraju, Manohar / Klinefelter, Alicia / Pandey, Jagdish / Boley, James / Carlson, Eric / Shrivastava, Aatmesh et al. | 2012
- 300
-
A 1V 5mA multimode IEEE 802.15.6/bluetooth low-energy WBAN transceiver for biotelemetry applicationsWong, Alan / Dawkins, Mark / Devita, Gabriele / Kasparidis, Nick / Katsiamis, Andreas / King, Oliver / Lauria, Franco / Schiff, Johannes / Burdett, Alison et al. | 2012
- 302
-
A mm-sized wirelessly powered and remotely controlled locomotive implantable deviceYakovlev, Anatoly / Pivonka, Daniel / Meng, Teresa / Poon, Ada et al. | 2012
- 304
-
A CMOS impedance cytometer for 3D flowing single-cell real-time analysis with ΔΣ error correctionLee, Kang-Ho / Nam, Jeonghun / Choi, Sukhwan / Lim, Hyunjung / Shin, Sehyun / Cho, Gyu-Hyeong et al. | 2012
- 304
-
A CMOS Impedance Cytometer for 3D Flowing Single-Cell Real-Time Analysis with Lambda Sigma Error CorrectionLee, K.-H. / Nam, J. / Choi, S. / Lim, H. / Shin, S. / Cho, G.-H. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 306
-
Session 18 overview: Innovative circuits in emerging technologies: Technology directions subcommitteeNakajima, Masaitsu / Borkar, Shekhar et al. | 2012
- 308
-
Insole pedometer with piezoelectric energy harvester and 2V organic digital and analog circuitsIshida, Koichi / Huang, Tsung-Ching / Honda, Kentaro / Shinozuka, Yasuhiro / Fuketa, Hiroshi / Yokota, Tomoyuki / Zschieschang, Ute / Klauk, Hagen / Tortissier, Gregory / Sekitani, Tsuyoshi et al. | 2012
- 310
-
1D and 2D analog 1.5kHz air-stable organic capacitive touch sensors on plastic foilMarien, Hagen / Steyaert, Michiel / Van Veenendael, Erik / Heremans, Paul et al. | 2012
- 312
-
Bidirectional communication in an HF hybrid organic/solution-processed metal-oxide RFID tagMyny, Kris / Rockele, Maarten / Chasin, Adrian / Pham, Duy-Vu / Steiger, Jurgen / Botnaras, Silviu / Weber, Dennis / Herold, Bernhard / Ficker, Jurgen / van der Putten, Bas et al. | 2012
- 314
-
A 6b 10MS/s current-steering DAC manufactured with amorphous Gallium-Indium-Zinc-Oxide TFTs achieving SFDR > 30dB up to 300kHzRaiteri, Daniele / Torricelli, Fabrizio / Myny, Kris / Nag, Manoj / Van der Putten, Bas / Smits, Edsger / Steudel, Soeren / Tempelaars, Karin / Tripathi, Ashutosh / Gelinck, Gerwin et al. | 2012
- 316
-
A low-overhead self-healing embedded system for ensuring high yield and long-term sustainability of 60GHz 4Gb/s radio-on-a-chipTang, Adrian / Hsiao, Frank / Murphy, David / Ku, I-Ning / Liu, Jenny / D'Souza, Sandeep / Wang, Ning-Yi / Wu, Hao / Wang, Yen-Hsiang / Tang, Mandy et al. | 2012
- 318
-
Power-efficient readout circuit for miniaturized electronic nosePetrescu, Violeta / Pettine, Julia / Karabacak, Devrez M. / Vandecasteele, Marianne / Calama, Mercedes Crego / Van Hoof, Chris et al. | 2012
- 320
-
Towards ultra-dense arrays of VHF NEMS with FDSOI-CMOS active pixels for sensing applicationsArndt, Gregory / Dupre, Cecilia / Arcamone, Julien / Cibrario, Gerald / Rozeau, Olivier / Duraffourg, Laurent / Ollier, Eric / Colinet, Eric et al. | 2012
- 322
-
Session 19 overview: 20+ Gb/s wireline transceivers and injection-locked clocking: Wireline subcommitteeChang, Ken / Cho, SeongHwan et al. | 2012
- 324
-
A 28Gb/s 4-tap FFE/15-tap DFE serial link transceiver in 32nm SOI CMOS technologyBulzacchelli, John / Beukema, Troy / Storaska, Daniel / Hsieh, Ping-Hsuan / Rylov, Sergey / Furrer, Daniel / Gardellini, Daniele / Prati, Andrea / Menolfi, Christian / Hanson, David et al. | 2012
- 326
-
A 225mW 28Gb/s SerDes in 40nm CMOS with 13dB of analog equalization for 100GBASE-LR4 and optical transport lane 4.4 applicationsHarwood, Mike / Nielsen, Steffen / Szczepanek, Andre / Allred, Richard / Batty, Sean / Case, Mike / Forey, Simon / Gopalakrishnan, Karthik / Kan, Larry / Killips, Bob et al. | 2012
- 328
-
A 40nm CMOS single-chip 50Gb/s DP-QPSK/BPSK transceiver with electronic dispersion compensation for coherent optical channelsCrivelli, Diego / Hueda, Mario / Carrer, Hugo / Zachan, Jeff / Gutnik, Vadim / Del Barco, Martin / Lopez, Ramiro / Hatcher, Geoff / Finochietto, Jorge / Yeo, Michael et al. | 2012
- 330
-
A dual 23Gb/s CMOS transmitter/receiver chipset for 40Gb/s RZ-DQPSK and CS-RZ-DQPSK optical transmissionCui, Delong / Raghavan, Bharath / Singh, Ullas / Vasani, Anand / Huang, Zhi / Pi, Deyi / Khanpour, Mehdi / Nazemi, Ali / Maarefi, Hassan / Ali, Tamer et al. | 2012
- 332
-
A versatile multi-modality serial linkTanaka, Yusuke / Hino, Yasufumi / Okada, Yasuhiro / Takeda, Takahiro / Ohashi, Sho / Yamagishi, Hiroyuki / Kawasaki, Kenichi / Hajimiri, Ali et al. | 2012
- 334
-
A 28Gb/s source-series terminated TX in 32nm CMOS SOIMenolfi, Christian / Hertle, Juergen / Toifl, Thomas / Morf, Thomas / Gardellini, Daniele / Braendli, Matthias / Buchmann, Peter / Kossel, Marcel et al. | 2012
- 336
-
An all-digital clock generator using a fractionally injection-locked oscillator in 65nm CMOSPark, Pyoungwon / Park, Jaejin / Park, Hojin / Cho, SeongHwan et al. | 2012
- 338
-
A 2.4GHz sub-harmonically injection-locked PLL with self-calibrated injection timingHuang, Yi-Chieh / Liu, Shen-Iuan et al. | 2012
- 340
-
Session 20 overview: RF frequency generation: RF subcommitteeStaszewski, R. Bogdan / Yamawaki, Taizo et al. | 2012
- 342
-
A 20Mb/s phase modulator based on a 3.6GHz digital PLL with −36dB EVM at 5mW powerMarzin, Giovanni / Levantino, Salvatore / Samori, Carlo / Lacaita, Andrea et al. | 2012
- 344
-
A 14.2mW 2.55-to-3GHz cascaded PLL with reference injection, 800MHz delta-sigma modulator and 255fsrms integrated jitter in 0.13μm CMOSPark, Dongmin / Cho, SeongHwan et al. | 2012
- 346
-
A 40nm CMOS all-digital fractional-N synthesizer without requiring calibrationOpteynde, Frank et al. | 2012
- 348
-
A 36mW/9mW power-scalable DCO in 55nm CMOS for GSM/WCDMA frequency synthesizersLiscidini, Antonio / Fanori, Luca / Andreani, Pietro / Castello, Rinaldo et al. | 2012
- 350
-
A clip-and-restore technique for phase desensitization in a 1.2V 65nm CMOS oscillator for cellular mobile and base stationsVisweswaran, Akshay / Staszewski, R. Bogdan / Long, John R. et al. | 2012
- 352
-
A 32nm CMOS all-digital reconfigurable fractional frequency divider for LO generation in multistandard SoC radios with on-the-fly interference managementChandrashekar, Kailash / Pellerano, Stefano / Madoglio, Paolo / Ravi, Ashoke / Palaskas, Yorgos et al. | 2012
- 354
-
A 6.7-to-9.2GHz 55nm CMOS hybrid Class-B/Class-C cellular TX VCOFanori, Luca / Liscidini, Antonio / Andreani, Pietro et al. | 2012
- 356
-
Session 21 overview: Analog techniques: Analog subcommitteeSavoj, Jafar / Mangelsdorf, Chris et al. | 2012
- 358
-
A 0.3-to-1.2GHz tunable 4th-order switched gm-C bandpass filter with >55dB ultimate rejection and out-of-band IIP3 of +29dBmDarvishi, Milad / Van der Zee, Ronan / Klumperink, Eric / Nauta, Bram et al. | 2012
- 360
-
A 0.55V 61dB-SNR 67dB-SFDR 7MHz 4th-order Butterworth filter using ring-oscillator-based integrators in 90nm CMOSDrost, Brian / Talegaonkar, Mrunmay / Hanumolu, Pavan Kumar et al. | 2012
- 362
-
A 65nm CMOS 1-to-10GHz tunable continuous-time low-pass filter for high-data-rate communicationsHoufaf, Fawzi / Egot, Mathieu / Kaiser, Andreas / Cathelin, Andreia / Nauta, Bram et al. | 2012
- 364
-
A 0.0025mm2 bandgap voltage reference for 1.1V supply in standard 0.16μm CMOSAnnema, Anne-Johan / Goksun, George et al. | 2012
- 366
-
A 5.58nW 32.768kHz DLL-assisted XO for real-time clocks in wireless sensing applicationsYoon, Dongmin / Sylvester, Dennis / Blaauw, David et al. | 2012
- 368
-
A 0.016mm2 144μW three-stage amplifier capable of driving 1-to-15nF capacitive load with >0.95MHz GBWYan, Zushu / Mak, Pui-In / Law, Man-Kay / Martins, Rui et al. | 2012
- 370
-
A 90Vpp 720MHz GBW linear power amplifier for ultrasound imaging transmitters in BCD6-SOIBianchi, Dario / Quaglia, Fabio / Mazzanti, Andrea / Svelto, Francesco et al. | 2012
- 372
-
On-chip gain reconfigurable 1.2V 24μW chopping instrumentation amplifier with automatic resistor matching in 0.13μm CMOSMichel, Fridolin / Steyaert, Michiel et al. | 2012
- 374
-
A capacitively coupled chopper instrumentation amplifier with a ±30V common-mode range, 160dB CMRR and 5μV offsetFan, Qinwen / Huijsing, Johan / Makinwa, Kofi et al. | 2012
- 376
-
A 60V capacitive gain 27nV/√Hz 137dB CMRR PGA with ±10V inputsBirk, Christian / Mora-Puchalt, Gerard et al. | 2012
- 378
-
Session 22 overview: Image sensors: Imagers, MEMS, medical and displays subcommitteeStoppa, David / Johansson, Robert et al. | 2012
- 380
-
An 83dB-dynamic-range single-exposure global-shutter CMOS image sensor with in-pixel dual storageSakakibara, Masaki / Oike, Yusuke / Takatsuka, Takafumi / Kato, Akihiko / Honda, Katsumi / Taura, Tadayuki / Machida, Takashi / Okuno, Jun / Ando, Atsuhiro / Fukuro, Taketo et al. | 2012
- 382
-
A global-shutter CMOS image sensor with readout speed of 1Tpixel/s burst and 780Mpixel/s continuousTochigi, Yasuhisa / Hanzawa, Katsuhiko / Kato, Yuri / Kuroda, Rihito / Mutoh, Hideki / Hirose, Ryuta / Tominaga, Hideki / Takubo, Kenji / Kondo, Yasushi / Sugawa, Shigetoshi et al. | 2012
- 384
-
A 0.7e−rms-temporal-readout-noise CMOS image sensor for low-light-level imagingChen, Yue / Xu, Yang / Chae, Youngcheol / Mierop, Adri / Wang, Xinyang / Theuwissen, Albert et al. | 2012
- 386
-
A 256x256 CMOS Image Sensor with Lambda Sigma -Based Single-Shot Compressed SensingOike, Y. / El Gamal, A. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 386
-
A 256×256 CMOS image sensor with ΔΣ-based single-shot compressed sensingOike, Yusuke / Gamal, Abbas El et al. | 2012
- 388
-
A 33Mpixel 120fps CMOS image sensor using 12b column-parallel pipelined cyclic ADCsWatabe, Toshihisa / Kitamura, Kazuya / Sawamoto, Takehide / Kosugi, Tomohiko / Akahori, Tomoyuki / Iida, Tetsuya / Isobe, Keigo / Watanabe, Takashi / Shimamoto, Hiroshi / Ohtake, Hiroshi et al. | 2012
- 390
-
A 14b extended counting ADC implemented in a 24Mpixel APS-C CMOS image sensorKim, Jae-hong / Jung, Wun-ki / Lim, Seung-hyun / Park, Yu-jin / Choi, Won-ho / Kim, Yun-jung / Kang, Chang-eun / Shin, Ji-hun / Choo, Kyo-jin / Lee, Won-baek et al. | 2012
- 392
-
A 1.5Mpixel RGBZ CMOS image sensor for simultaneous color and range image captureKim, Wonjoo / Yibing, Wang / Ovsiannikov, Ilia / Lee, SeungHoon / Park, Yoondong / Chung, Chilhee / Fossum, Eric et al. | 2012
- 394
-
A QVGA-range image sensor based on buried-channel demodulator pixels in 0.18μm CMOS with extended dynamic rangePancheri, Lucio / Massari, Nicola / Perenzoni, Matteo / Malfatti, Mattia / Stoppa, David et al. | 2012
- 396
-
A 1920x1080 3.65μm-Pixel 2D/3D Image Sensor with Split and Binning Pixel Structure in 0.11μm Standard CMOSKim, S.-J. / Kang, B. / Kim, J.D.K. / Lee, K. / Kim, C.-Y. / Kim, K. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 396
-
A 1920×1080 3.65μm-pixel 2D/3D image sensor with split and binning pixel structure in 0.11pm standard CMOSKim, Seong-Jin / Kang, Byongmin / Kim, James D. K. / Lee, Keechang / Kim, Chang-Yeong / Kim, Kinam et al. | 2012
- 398
-
Session 23 overview: Advances in heterogeneous integration: Technology directions subcommitteeKuroda, Tadahiro / Ruffieux, David et al. | 2012
- 400
-
A 2.5D integrated voltage regulator using coupled-magnetic-core inductors on silicon interposer delivering 10.8A/mm2Sturcken, Noah / O'Sullivan, Eugene / Wang, Naigang / Herget, Philipp / Webb, Bucknell / Romankiw, Lubomyr / Petracca, Michele / Davies, Ryan / Fontana, Robert / Decad, Gary et al. | 2012
- 402
-
A modular 1mm3 die-stacked sensing platform with optical communication and multi-modal energy harvestingLee, Yoonmyung / Kim, Gyouho / Bang, Suyoung / Kim, Yejoong / Lee, Inhee / Dutta, Prabal / Sylvester, Dennis / Blaauw, David et al. | 2012
- 404
-
A DC-isolated gate drive IC with drive-by-microwave technology for power switching devicesNagai, Shuichi / Negoro, Noboru / Fukuda, Takeshi / Otsuka, Nobuyuki / Sakai, Hiroyuki / Ueda, Tetsuzo / Tanaka, Tsuyoshi / Ueda, Daisuke et al. | 2012
- 406
-
Nonvolatile 3D-FPGA with monolithically stacked RRAM-based configuration memoryLiauw, Young Yang / Zhang, Zhiping / Kim, Wanki / Gamal, Abbas El / Wong, S. Simon et al. | 2012
- 408
-
Session 24 overview: 10GBase-T and optical front ends: Wireline subcommitteeMoyal, Miki / Jou, Chewnpu et al. | 2012
- 410
-
A sub-2W 10GBase-T analog front-end in 40nm CMOS processGupta, Tarun / Yang, Frank / Wang, Dong / Tabatabaei, Ali / Singh, Ramesh / Aslanzadeh, Hesam / Khalili, Alireza / Vats, Saurabh / Arno, Susan / Campeau, Sean et al. | 2012
- 412
-
A 16-port FCC-compliant 10GBase-T transmitter and hybrid with 76dBc SFDR up to 400MHz scalable to 48 portsGerfers, Friedel / Farjad, Ramin / Brown, Michael / Tavakoli, Ahmad / Nguyen, David / Ng, Hiok-Tiaq / Shirani, Ramin et al. | 2012
- 414
-
A 10Gb/s burst-mode laser diode driver for burst-by-burst power savingKoizumi, Hiroshi / Togashi, Minoru / Nogawa, Masafumi / Ohtomo, Yusuke et al. | 2012
- 416
-
A 10Gb/s burst-mode TIA with on-chip reset/lock CM signaling detection and limiting amplifier with a 75ns settling timeYin, Xin / Put, Jasmien / Verbrugghe, Jochen / Gillis, Jan / Qiu, Xing-Zhi / Bauwelinck, Johan / Vandewege, Jan / Krimmel, Heinz-Georg / Achouche, Mohand et al. | 2012
- 418
-
25Gb/s 3.6pJ/b and 15Gb/s 1.37pJ/b VCSEL-based optical links in 90nm CMOSProesel, Jonathan / Schow, Clint / Rylyakov, Alexander et al. | 2012
- 420
-
Session 25 overview: Non-volatile memory solutions: Memory subcommitteeYamauchi, Tadaaki / Hanzawa, Satoru et al. | 2012
- 422
-
A 19nm 112.8mm2 64Gb multi-level flash memory with 400Mb/s/pin 1.8V Toggle Mode interfaceShibata, N. / Kanda, K. / Hisada, T. / Isobe, K. / Sato, M. / Shimizu, Y. / Shimizu, T. / Sugimoto, T. / Kobayashi, T. / Inuzuka, K. et al. | 2012
- 424
-
Over-10~X-Extended-Lifetime 76%-Reduced-Error Solid-State Drives (SSDs) with Error-Prediction LDPC Architecture and Error-Recovery SchemeTanakamaru, S. / Yanagihara, Y. / Takeuchi, K. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 424
-
Over-10×-extended-lifetime 76%-reduced-error solid-state drives (SSDs) with error-prediction LDPC architecture and error-recovery schemeTanakamaru, Shuhei / Yanagihara, Yuki / Takeuchi, Ken et al. | 2012
- 426
-
6.4Gb/s multi-threaded BCH encoder and decoder for multi-channel SSD controllersLee, Youngjoo / Yoo, Hoyoung / Yoo, Injae / Park, In-Cheol et al. | 2012
- 428
-
Bitline-capacitance-cancelation sensing scheme with 11ns read latency and maximum read throughput of 2.9GB/s in 65nm embedded flash for automotiveJefremow, Mihail / Kern, Thomas / Backhausen, Ulrich / Peters, Christian / Parzinger, Christoph / Roll, Christoph / Kassenetter, Stephan / Thierold, Stefanie / Schmitt-Landsiedel, Doris et al. | 2012
- 430
-
A 64Gb 533Mb/s DDR interface MLC NAND Flash in sub-20nm technologyLee, Daeyeal / Chang, Ik Joon / Yoon, Sang-Yong / Jang, Joonsuc / Jang, Dong-Su / Hahn, Wook-Ghee / Park, Jong-Yeol / Kim, Doo-Gon / Yoon, Chiweon / Lim, Bong-Soon et al. | 2012
- 432
-
An 8Mb multi-layered cross-point ReRAM macro with 443MB/s write throughputKawahara, Akifumi / Azuma, Ryotaro / Ikeda, Yuuichirou / Kawai, Ken / Katoh, Yoshikazu / Tanabe, Kouhei / Nakamura, Toshihiro / Sumimoto, Yoshihiko / Yamada, Naoki / Nakai, Nobuyuki et al. | 2012
- 434
-
A 0.5V 4Mb logic-process compatible embedded resistive RAM (ReRAM) in 65nm CMOS using low-voltage current-mode sensing scheme with 45ns random read timeChang, Meng-Fan / Wu, Che-Wei / Kuo, Chia-Cheng / Shen, Shin-Jang / Lin, Ku-Feng / Yang, Shu-Meng / King, Ya-Chin / Lin, Chorng-Jung / Chih, Yu-Der et al. | 2012
- 436
-
128Gb 3b/cell NAND flash memory in 19nm technology with 18MB/s write rate and 400Mb/s toggle modeLi, Yan / Lee, Seungpil / Oowada, Ken / Nguyen, Hao / Nguyen, Qui / Mokhlesi, Nima / Hsu, Cynthia / Li, Jason / Ramachandra, Venky / Kamei, Teruhiko et al. | 2012
- 438
-
Session 26 overview: Short-range wireless transceivers: Wireless subcommitteeGharpurey, Ranjit / Rhee, Woogeun et al. | 2012
- 440
-
A 1V 357Mb/s-throughput transferjet™ SoC with embedded transceiver and digital baseband in 90nm CMOSTamura, Masahisa / Kondo, Fumitaka / Watanabe, Katsumi / Aoki, Yasunori / Shinohe, Yusuke / Uchino, Koki / Hashimoto, Yuhei / Nishiyama, Fumihiro / Miyachi, Hiroaki / Nagase, Ikuho et al. | 2012
- 442
-
A 2Gb/s 150mW UWB direct-conversion coherent transceiver with IQ-switching carrier recovery schemeAbe, Takayuki / Yuan, Yuixiang / Ishikuro, Hiroki / Kuroda, Tadahiro et al. | 2012
- 444
-
3-to-5GHz 4-channel UWB beamforming transmitter with 1° phase resolution through calibrated vernier delay line in 0.13μm CMOSWang, Lei / Guo, Yong Xin / Lian, Yong / Heng, Chun Huat et al. | 2012
- 446
-
An interference-aware 5.8GHz wake-up radio for ETCSChoi, Jeongki / Lee, Kanghyuk / Yun, Seok-Oh / Lee, Sang-Gug / Ko, Jinho et al. | 2012
- 448
-
A 2.7nJ/b multi-standard 2.3/2.4GHz polar transmitter for wireless sensor networksLiu, Yao-Hong / Huang, Xiongchuan / Vidojkovic, Maja / Imamura, Koji / Harpe, Pieter / Dolmans, Guido / De Groot, Harmke et al. | 2012
- 450
-
A meter-range UWB transceiver chipset for around-the-head audio streamingWang, Xiaoyan / Yu, Yikun / Busze, Benjamin / Pflug, Hans / Young, Alex / Huang, Xiongchuan / Zhou, Cui / Konijnenburg, Mario / Philips, Kathleen / De Groot, Harmke et al. | 2012
- 452
-
A 90nm CMOS 5Mb/s crystal-less RF transceiver for RF-powered WSN nodesPapotto, Giuseppe / Carrara, Francesco / Finocchiaro, Alessandro / Palmisano, Giuseppe et al. | 2012
- 454
-
A 915MHz 120μW-RX/900μW-TX envelope-detection transceiver with 20dB in-band interference toleranceHuang, Xiongchuan / Ba, Ao / Harpe, Pieter / Dolmans, Guido / De Groot, Harmke / Long, John et al. | 2012
- 456
-
Session 27 overview: Data converter techniques: Data converters subcommitteeDraxelmayr, Dieter / Miki, Takahiro et al. | 2012
- 458
-
A 14b 3/6GHz current-steering RF DAC in 0.18μm CMOS with 66dB ACLR at 2.9GHzEngel, Gil / Kuo, Shawn / Rose, Steve et al. | 2012
- 460
-
Ring amplifiers for switched-capacitor circuitsHershberg, Benjamin / Weaver, Skyler / Sobue, Kazuki / Takeuchi, Seiji / Hamashita, Koichi / Moon, Un-Ku et al. | 2012
- 462
-
A 5.37mW 10b 200MS/s dual-path pipelined ADCChai, Yun / Wu, Jieh-Tsorng et al. | 2012
- 464
-
A 13b 315fsrms 2mW 500MS/s 1MHz bandwidth highly digital time-to-digital converter using switched ring oscillatorsElshazly, Amr / Rao, Sachin / Young, Brian / Hanumolu, Pavan Kumar et al. | 2012
- 466
-
A 1.7mW 11b 250MS/s 2× interleaved fully dynamic pipelined SAR ADC in 40nm digital CMOSVerbruggen, Bob / Iriguchi, Masao / Craninckx, Jan et al. | 2012
- 468
-
A 90MS/s 11MHz bandwidth 62dB SNDR noise-shaping SAR ADCFredenburg, Jeffrey / Flynn, Michael et al. | 2012
- 470
-
A 70dB DR 10b 0-to-80MS/s current-integrating SAR ADC with adaptive dynamic rangeMalki, Badr / Yamamoto, Takaya / Verbruggen, Bob / Wambacq, Piet / Craninckx, Jan et al. | 2012
- 472
-
A 7-to-10b 0-to-4MS/s flexible SAR ADC with 6.5-to-16fJ/conversion-stepHarpe, Pieter / Zhang, Yan / Dolmans, Guido / Philips, Kathleen / De Groot, Harmke et al. | 2012
- 474
-
A 31.3fJ/conversion-step 70.4dB SNDR 30MS/s 1.2V two-step pipelined ADC in 0.13μm CMOSLee, Ho-Young / Lee, Bumha / Moon, Un-Ku et al. | 2012
- 476
-
Session 28 overview: Adaptive and low-power circuits: Energy-efficient digital subcommitteePhan, Michael / Sumita, Masaya et al. | 2012
- 478
-
A 4.5Tb/s 3.4Tb/s/W 64×64 switch fabric with self-updating least-recently-granted priority and quality-of-service arbitration in 45nm CMOSSatpathy, Sudhir / Sewell, Korey / Manville, Thomas / Chen, Yen-Po / Dreslinski, Ronald / Sylvester, Dennis / Mudge, Trevor / Blaauw, David et al. | 2012
- 478
-
A 4.5Tb/s 3.4Tb/s/W 64x64 Switch Fabric with Self-Updating Least-Recently-Granted Priority and Quality-of-Service Arbitration in 45nm CMOSSatpathy, S. / Sewell, K. / Manville, T. / Chen, Y.-P. / Dreslinski, R. / Sylvester, D. / Mudge, T. / Blaauw, D. / Institute of Electrical and Electronics Engineers / University of Pennsylvania et al. | 2012
- 480
-
A 1.0TOPS/W 36-core neocortical computing processor with 2.3Tb/s Kautz NoC for universal visual recognitionTsai, Chuan-Yung / Lee, Yu-Ju / Chen, Chun-Ting / Chen, Liang-Gee et al. | 2012
- 482
-
Conditional push-pull pulsed latches with 726fJ·ps energy-delay product in 65nm CMOSConsoli, Elio / Alioto, Massimo / Palumbo, Gaetano / Rabaey, Jan et al. | 2012
- 484
-
A 200mV 32b subthreshold processor with adaptive supply voltage controlLuetkemeier, Sven / Jungeblut, Thorsten / Porrmann, Mario / Rueckert, Ulrich et al. | 2012
- 486
-
13% Power reduction in 16b integer unit in 40nm CMOS by adaptive power supply voltage control with parity-based error prediction and detection (PEPD) and fully integrated digital LDOHirairi, Koji / Okuma, Yasuyuki / Fuketa, Hiroshi / Yasufuku, Tadashi / Takamiya, Makoto / Nomura, Masahiro / Shinohara, Hirofumi / Sakurai, Takayasu et al. | 2012
- 488
-
Bubble Razor: An architecture-independent approach to timing-error detection and correctionFojtik, Matthew / Fick, David / Kim, Yejoong / Pinckney, Nathaniel / Harris, David / Blaauw, David / Sylvester, Dennis et al. | 2012
- 490
-
A 25MHz 7μW/MHz ultra-low-voltage microcontroller SoC in 65nm LP/GP CMOS for low-carbon wireless sensor nodesBol, David / De Vos, Julien / Hocquet, Cedric / Botman, Francois / Durvaux, Francois / Boyd, Sarah / Flandre, Denis / Legat, Jean-Didier et al. | 2012
- 492
-
A 530mV 10-lane SIMD processor with variation resiliency in 45nm SOIPawlowski, Robert / Krimer, Evgeni / Crop, Joseph / Postman, Jacob / Moezzi-Madani, Nariman / Erez, Mattan / Chiang, Patrick et al. | 2012
- 496
-
Tutorials| 2012
- 498
-
Beamforming techniques and RF transceiver designKlumperink, Eric / Leenaerts, Domine / Rebeiz, Gabriel et al. | 2012
- 500
-
Robust VLSI circuit design & systems for sustainable societyTakeuchi, Ken / Crols, Jan / Zhang, Kevin / Clinton, Mike / Yamauchi, Tadaaki et al. | 2012
- 502
-
10–40 Gb/s I/O design for data communicationsChang, Ken / Carusone, Tony Chan / Sheikholeslami, Ali / Payne, Bob / Moyal, Miki / Stonick, John / Yamaguchi, Hisakatsu et al. | 2012
- 504
-
Computational imagingIkeda, Makoto / Theuwissen, Albert / Solhusvik, Johannes / Bosiers, Jan et al. | 2012
- 506
-
Bioelectronics for sustainable healthcareVan Hoof, Chris / Dehaene, Wim / Liu, Wentai / Denison, Timothy / Je, Minkyu / Yoo, Hoi-Jun et al. | 2012
- 508
-
Power/performance optimization of many-core processor SoCsKosonocky, Stephen / Stojanovic, Vladimir / Van Berkel, Kees / Chao, Ming-Yang / Knoll, Tobias / Friedrich, Joshua et al. | 2012
- 510
-
Is RF doomed to digitization? What shall RF circuit designers do?Staszewski, R. Bogdan / Rudell, Jacques et al. | 2012
- 511
-
Little-known features of well-known creaturesMoon, Un-ku / Pavan, Shanthi et al. | 2012
- 512
-
What is the next RF frontier?Burra, Gangadhar / Hashimi, Hossein et al. | 2012
- 513
-
Student research preview| 2012
- 514
-
What's next in robots? ∼Sensing, processing, networking toward human brain and bodyArimoto, Kazutami / Kavusi, Sam / Salisbury, Kenneth et al. | 2012
- 515
-
Technologies that could change the world — You decide!Hurwitz, Jed / Savoj, Jafar et al. | 2012
- 516
-
Optical PCB interconnects, Niche or mainstream?Fujimori, Ichiro / Cho, SeongHwan / Friedrich, Joshua / Stonick, John et al. | 2012
- 517
-
Vision for future televisionInoue, Atsuki / Nakajima, Masaitsu et al. | 2012
- 518
-
Low-power analog signal processingSansen, Willy / Enz, Christian / Murmann, Boris / Mok, Philip et al. | 2012
- 519
-
Index to authors| 2012
- 524
-
Executive committee| 2012
- 525
-
International technical program committee| 2012
- 527
-
ISSCC 2013 call for papers| 2012
- 528
-
Time table| 2012