Stacked-etch induced charge loss in Hybrid Floating Gate cells using high-κ Inter-Gate Dielectric (Englisch)
- Neue Suche nach: Zahid, M. B.
- Neue Suche nach: Breuil, L.
- Neue Suche nach: Degraeve, R.
- Neue Suche nach: Blomme, P.
- Neue Suche nach: Tan, C.-L.
- Neue Suche nach: Lisoni, J. G.
- Neue Suche nach: Van den Bosch, G.
- Neue Suche nach: Van Houdt, J.
- Neue Suche nach: Zahid, M. B.
- Neue Suche nach: Breuil, L.
- Neue Suche nach: Degraeve, R.
- Neue Suche nach: Blomme, P.
- Neue Suche nach: Tan, C.-L.
- Neue Suche nach: Lisoni, J. G.
- Neue Suche nach: Van den Bosch, G.
- Neue Suche nach: Van Houdt, J.
In:
2014 IEEE International Reliability Physics Symposium
;
MY.1.1-MY.1.5
;
2014
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Stacked-etch induced charge loss in Hybrid Floating Gate cells using high-κ Inter-Gate Dielectric
-
Beteiligte:Zahid, M. B. ( Autor:in ) / Breuil, L. ( Autor:in ) / Degraeve, R. ( Autor:in ) / Blomme, P. ( Autor:in ) / Tan, C.-L. ( Autor:in ) / Lisoni, J. G. ( Autor:in ) / Van den Bosch, G. ( Autor:in ) / Van Houdt, J. ( Autor:in )
-
Erschienen in:2014 IEEE International Reliability Physics Symposium ; MY.1.1-MY.1.5
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.06.2014
-
Format / Umfang:478302 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Calendar notice| 2014
- 1
-
Keynote address 2: “Future of mobile computing”Bergan, Charles et al. | 2014
- 1
-
IRPS2014 - Workshop and panel program| 2014
- 1
-
Board of directors| 2014
- 1
-
2013 IRPS paper awards to be recognized at 2014 IRPS| 2014
- 1
-
2014 IRPS tutorial program| 2014
- 1
-
Biographies| 2014
- 1
-
PrefaceChaparala, Prasad et al. | 2014
- 1
-
Keynote address 1: “Connecting the dots to achieve high reliability and quality”Master, Raj N. et al. | 2014
- 1
-
Officers and committees| 2014
- 1
-
2012 IRPS paper awards recognized at 2013 IRPS| 2014
- 1
-
Technical program committee| 2014
- 1
-
Call for papers| 2014
- 1
-
Copyright page| 2014
- 2A.1.1
-
Stress migration in a copper - Aluminum hybrid technologyChristiansen, Cathryn / Chapple-Sokol, Jonathan / Coster, Michael / Hunt, Douglas / Lee, Tom C. / Murphy, William / Gambino, Jeffrey / Cooney, Edward / Kemerer, Timothy / Rassel, Richard et al. | 2014
- 2A.2.1
-
On the distribution of stress-induced voiding failures under viasHall, Gavin D.R. / Allman, Derryl D.J. et al. | 2014
- 2A.3.1
-
Lifetime prediction for stress-induced voiding in nose-shape lines by using a stress-diffusion analytical modelYokogawa, Shinji et al. | 2014
- 2A.4.1
-
Electromigration in strapped metal layers with large dimensions for lateral power device applicationsYoung-Joon Park, / Joh, Jungwoo / Kil-Soo Ko, et al. | 2014
- 2B.1.1
-
Cross-layer system resilience at affordable powerGupta, Meeta S. / Rivers, Jude A. / Wang, Liang / Bose, Pradip et al. | 2014
- 2B.2.1
-
Bias dependence of muon-induced single event upsets in 28 nm static random access memoriesSierawski, Brian D. / Bhuva, Bharat / Reed, Robert / Tam, Nelson / Narasimham, Balaji / Ishida, Katsuhiko / Hillier, Adrian / Trinczek, Michael / Blackmore, Ewart / Wen, Shi-Jie et al. | 2014
- 2B.3.1
-
Heavy-ion induced single event upsets in phase-change memoriesGerardin, S. / Bagatin, M. / Paccagnella, A. / Visconti, A. / Bonanomi, M. / Beltrami, S. / Frost, C. / Ferlet-Cavrois, V. et al. | 2014
- 2B.4.1
-
Development of thermal neutron SER-resilient high-k/metal gate technologyPark, Jongwoo / Gunrae Kim, / Ming Zhang, / Kyungsik Park, / Miji Lee, / Ilgon Kim, / Jongsun Bae, / Sangwoo Pae, / Jinwoo Choi, / Dongsuk Shin, et al. | 2014
- 2C.1.1
-
Toward a physical understanding of the reliability-limiting EC-0.57 eV trap in GaN HEMTsSasikumar, A. / Cardwell, D. W. / Arehart, A. R. / Lu, J. / Kaun, S. W. / Keller, S. / Mishra, U. K. / Speck, J. S. / Pelz, J. P. / Ringel, S. A. et al. | 2014
- 2C.2.1
-
Sensitivity analysis of a technique for the extraction of interface trap density in SiC MOSFETs from subthreshold characteristicsHughart, D. R. / Flicker, J. D. / Atcitty, S. / Marinella, M. J. / Kaplar, R. J. et al. | 2014
- 2C.3.1
-
A new method for extracting interface state and border trap densities in high-k/III-V MOSFETsSereni, G. / Vandelli, L. / Larcher, L. / Morassi, L. / Veksler, D. / Bersuker, G. et al. | 2014
- 2C.4.1
-
Modeling the threshold voltage instability in SiC MOSFETs at high operating temperatureKikuchi, Takuo / Ciappa, Mauro et al. | 2014
- 2D.1.1
-
I/O design optimization flow for reliability in advanced CMOS nodesCacho, F. / Gupta, A. / Aggarwal, A. / Madan, G. / Bansal, N. / Rizvi, M. / Huard, V. / Garg, P. / Arnaud, C. / Delater, R. et al. | 2014
- 2D.2.1
-
Estimation of instantaneous frequency fluctuation in a fast DVFS environment using an empirical BTI stress-relaxation modelZhou, Chen / Wang, Xiaofei / Weichao Xu, / Yuhao Zhu, / Reddi, Vijay Janapa / Kim, Chris H. et al. | 2014
- 2D.3.1
-
Diagnosing bias runaway in analog/mixed signal circuitsSutaria, Ketul B. / Ren, Pengpeng / Ramkumar, Athul / Rongjun Zhu, / Xixiang Feng, / Wang, Runsheng / Huang, Ru / Cao, Yu et al. | 2014
- 2D.4.1
-
Maximizing reliable performance of advanced CMOS circuits—A case studyKaczer, B. / Chen, C. / Weckx, P. / Roussel, Ph. J. / Toledano-Luque, M. / Franco, J. / Cho, M. / Watt, J. / Chanda, K. / Groeseneken, G. et al. | 2014
- 2D.5.1
-
New insights about oxide breakdown occurrence at circuit levelSaliva, M. / Cacho, F. / Huard, V. / Angot, D. / Federspiel, X. / Durand, M. / Parra, M. / Bravaix, A. / Anghel, L. et al. | 2014
- 2D.6.1
-
Re-investigating the adequacy of projecting ring oscillator frequency shift from device level degradationHuang, Y.-C. / Yew, T.-Y. / Hsieh, M.-H. / Misra, A. / Wang, W. / Lee, Y.-H. / Shih, J.R. / Wu, K. et al. | 2014
- 2E.1.1
-
Scaling and reliability of NAND flash devicesYoungwoo Park, / Jaeduk Lee, / Seong Soon Cho, / Gyoyoung Jin, / Eunseung Jung, et al. | 2014
- 2E.2.1
-
A new spectral approach to modeling charge trapping/detrapping in NAND Flash memoriesPaolucci, Giovanni M. / Monzio Compagnoni, Christian / Miccoli, Carmine / Bertuccio, Massimo / Beltrami, Silvia / Barber, John / Kessenich, Jeffrey / Lacaita, Andrea L. / Spinelli, Alessandro S. / Visconti, Angelo et al. | 2014
- 2E.3.1
-
Defects characterization of Hybrid Floating Gate/Inter-Gate Dielectric interface in Flash memoryZahid, M. B. / Degraeve, R. / Breuil, L. / Blomme, P. / Lisoni, J. G. / Van den Bosch, G. / Van Houdt, J. / Tang, B.J. et al. | 2014
- 2E.4.1
-
Study on the Vt variation and bias temperature instability characteristics of TiN/W and TiN metal buried-gate transistor in DRAM applicationJang, Tae-Su / Kim, Kyung-do / Min-Soo Yoo, / Kim, Yong-Taik / Cha, Seon-Yong / Jeong, Jae-Goan / Lee, Seok-Hee et al. | 2014
- 2E.5.1
-
Origin of the endurance degradation in the novel HfO2-based 1T ferroelectric non-volatile memoriesYurchuk, Ekaterina / Mueller, Stefan / Martin, Dominik / Slesazeck, Stefan / Schroeder, Uwe / Mikolajick, Thomas / Muller, Johannes / Paul, Jan / Hoffmann, Raik / Sundqvist, Jonas et al. | 2014
- 2E.6.1
-
28nm advanced CMOS resistive RAM solution as embedded non-volatile memoryBenoist, A. / Blonkowski, S. / Jeannot, S. / Denorme, S. / Damiens, J. / Berger, J. / Candelier, P. / Vianello, E. / Grampeix, H. / Nodin, J. F. et al. | 2014
- 2F.1.1
-
Package reliability and performance trends in an era of product integrationJun He, et al. | 2014
- 2F.2.1
-
Mechanical stability of Cu/low-k BEOL interconnectsGonzalez, Mario / Vanstreels, Kris / Cherman, Vladimir / Croes, Kristof / Kljucar, Luka / De Wolf, Ingrid / Tokei, Zsolt et al. | 2014
- 2F.3.1
-
Effects of various assembly and reliability stresses on chip to package interactionRao, Shiguo / Lin, Li / Xu, Xiaopeng / Deng, Bei / Borges, Ricardo et al. | 2014
- 2F.4.1
-
Acceleration of chip - Package failures in temperature cyclingHuitink, David / Enamul, Kabir / Rangaraj, Sudarshan / Lucero, Alan et al. | 2014
- 2F.5.1
-
A fabless company's perspective on large die Chip Package Interaction (CPI) challengesChanda, Kaushik / Mahadev, Vadali et al. | 2014
- 3A.1.1
-
New breakdown data generation and analytics methodology to address BEOL and mol dielectric TDDB process development and technology qualification challengesChen, Fen / Graas, Carole / Shinosky, Michael / Griffin, Chuck / Dufresne, Roger / Bolam, Ronald / Christiansen, Cathryn / Kai Zhao, / Narasimha, Shreesh / Tian, Chunyan et al. | 2014
- 3A.2.1
-
Towards the understanding of intrinsic degradation and breakdown mechanisms of a SiOCH low-k dielectricWu, C. / Li, Y. / Barbarin, Y. / Ciofi, I. / Tang, B. / Kauerauf, T. / Croes, K. / Bommels, J. / De Wolf, I. / Tokei, Zs. et al. | 2014
- 3A.3.1
-
A new methodology for copper/low-k dielectric reliability predictionLee, Shou-Chung / Oates, A. S. et al. | 2014
- 3A.4.1
-
Study on vertical TDDB degradation mechanism and its relation to lateral TDDB in Cu/low-k damascene structuresSuzumura, N. / Ogasawara, M. / Furuhashi, T. / Koyama, T. et al. | 2014
- 3A.5.1
-
New insight in BEOL TDDB Cu diffusion mechanism: A constant current stress approachShen, Tian / Hao Jiang, / Zhang, Wenyi / Cahyadi, Tommy / Chua, Eng Chye / Capasso, Cristiano et al. | 2014
- 3B.1.1
-
Universality of NBTI - From devices to circuits and productsMahapatra, S. / Huard, V. / Kerber, A. / Reddy, V. / Kalpat, S. / Haggag, A. et al. | 2014
- 3B.2.1
-
Mission Profiles derived from lifetests and field return data using inverse problem theoryLiang, Zhongning / Kho, Ramun M. et al. | 2014
- 3B.3.1
-
Voltage Ramp Stress Test to determine TDDB performance in SRAM vehicleAhn, Jae-Gyung / Parameswaran, Suresh / Tsaggaris, Dean / Chien-Wei Ku, / Ping-Chin Yeh, / Chang, Jonathan et al. | 2014
- 3B.4.1
-
Systematic reliability characterizations on Average Output Voltage (AVO) shift of Display Driver IC by HTOLJungdong Kim, / Donghun Kim, / Minhyeok Choe, / Kidan Bae, / Sangchul Shin, / Sangwoo Pae, / Park, Jongwoo et al. | 2014
- 3B.5.1
-
Impact of VLSI scaling on die qualificationHaggag, Amr / Phillips, Michael / Lee, J.K. Jerry et al. | 2014
- 3C.1.1
-
CMOS image sensor: Process impact on dark currentCarrere, J-P / Place, S. / Oddou, J-P / Benoit, D. / Roy, F. et al. | 2014
- 3C.2.1
-
SILC and gate oxide breakdown characterization of 22nm tri-gate technologyRamey, S. / Hicks, J. et al. | 2014
- 3C.3.1
-
Aluminum charge/dipole passivation induced by hydrogen diffusion in high-k metal gateRibes, G. / Barral, V. / Chhun, S. / Gros-Jean, M. / Caubet, P. / Petit, D. et al. | 2014
- 3C.4.1
-
Guidelines for reducing NBTI based on its correlation with effective work function studied by CV-BTI on high-k first MOS capacitors with slant-etched SiO2Arimura, H. / Ragnarsson, L.-A. / Schram, T. / Albert, J. / Kaczer, B. / Degraeve, R. / Bury, E. / Aoulaiche, M. / Kauerauf, T. / Thean, A. et al. | 2014
- 3C.5.1
-
Positive bias instability in gate-first and gate-last InGaAs channel n-MOSFETsDeora, S. / Bersuker, G. / Kim, T. W. / Kim, D. H. / Hobbs, C. / Kirsch, P. D. / Sahoo, K. C. / Oates, A. S. et al. | 2014
- 3D.1.1
-
Double-sampling architecturesNicolaidis, Michael et al. | 2014
- 3D.2.1
-
Error-resilient design techniques for reliable and dependable computingDas, Shidhartha / Bull, David / Whatmough, Paul et al. | 2014
- 3D.3.1
-
Resilient and adaptive circuits for voltage, temperature, and reliability guardband reductionTokunaga, Carlos / Ryan, Joseph F. / Karnik, Tanay / Tschanz, James W. et al. | 2014
- 3D.4.1
-
Reliability issues in GaN and SiC power devicesUeda, Tetsuzo et al. | 2014
- 3E.1.1
-
Impact of Cu TSVs on BEOL metal and dielectric reliabilityLi, Yunlong / Croes, Kristof / Nabiollahi, Nabi / Van Huylenbroeck, Stefaan / Gonzalez, Mario / Velenis, Dimitrios / Bender, Hugo / Jourdain, Anne / Pantouvaki, Marianna / Stucchi, Michele et al. | 2014
- 3E.2.1
-
Mass transport-induced failure in direct copper (Cu) bonding interconnects for 3-D integrationMoreau, S. / Beilliard, Y. / Coudrain, P. / Bouchu, D. / Taibi, R. / Di Cioccio, L. et al. | 2014
- 3E.3.1
-
Effects of sidewall scallops on open tungsten TSVsFilipovic, L. / de Orio, R.L. / Selberherr, S. / Singulani, A. / Roger, F. / Minixhofer, R. et al. | 2014
- 3E.4.1
-
Impacts of Cu contamination in 3D integration process on memory retention characteristics in thinned DRAM chipLee, Kangwook / Tanikawa, Seiya / Naganuma, Hideki / Bea, Jichel / Murugesan, Mariappine / Fukushima, Takafumi / Tanaka, Tetsu / Koyanagi, Mitsumasa et al. | 2014
- 3F.1.1
-
Fundamentals and future applications of Laser Voltage ProbingKindereit, Ulrike et al. | 2014
- 3F.2.1
-
Backside device physical analysis for yield and reliability of advanced bulk-Si CMOS ICsLi, Yuanjing / Marks, Howard Lee et al. | 2014
- 3F.3.1
-
A novel analysis of oxide breakdown based on dynamic observation using ultra-high speed video capturing up to 10,000,000 frames per secondKuroda, Rihito / Shao, Fan / Kimoto, Daiki / Furukawa, Kiichi / Sugo, Hidetake / Takeda, Tohru / Miyauchi, Ken / Tochigi, Yasuhisa / Teramoto, Akinobu / Sugawa, Shigetoshi et al. | 2014
- 3F.4.1
-
Measuring propagation delays of critical paths using time-resolved LADAErington, K. / Bodoh, D. / Serrels, K. A. / Nemirow, C. / Leslie, N. / Lundquist, T. R. / Vedagarbha, P. / Farrell, C. / Reid, D. T. et al. | 2014
- 4A.1.1
-
Modeling of transient and static components of intrinsic emission from VLSI circuitsShehata, Andrea Bahgat / Stellari, Franco / Weger, Alan / Song, Peilin et al. | 2014
- 4A.2.1
-
Energy driven modeling of OFF-state and sub-threshold degradation in scaled NMOS transistorsVarghese, D. / Nandakumar, M. / Tang, S. / Reddy, V. / Krishnan, S. et al. | 2014
- 4A.3.1
-
Origin and implications of hot carrier degradation of Gate-all-around nanowire III–V MOSFETsShin, SangHoon / Wahab, Muhammad A. / Masuduzzaman, Muhammad / Si, Mengwei / Gu, Jiangjiang / Ye, P. D. / Alam, Muhammad A. et al. | 2014
- 4A.4.1
-
Self-heating effect in FinFETs and its impact on devices reliability characterizationLiu, S. E. / Wang, J. S. / Lu, Y. R. / Huang, D. S. / Huang, C. F. / Hsieh, W. H. / Lee, J. H. / Tsai, Y. S. / Shih, J. R. / Lee, Y.-H. et al. | 2014
- 4A.5.1
-
A unified perspective of RTN and BTIGrasser, T. / Rott, K. / Reisinger, H. / Waltl, M. / Franco, J. / Kaczer, B. et al. | 2014
- 4A.6.1
-
Analyzing correlation between multiple traps in RTN characteristicsObara, Toshiki / Teramoto, Akinobu / Yonezawa, Akihiro / Kuroda, Rihito / Sugawa, Shigetoshi / Ohmi, Tadahiro et al. | 2014
- 4B.2.1
-
Simulation-based reliability evaluation for analog applicationsWeber, Eduard / Echtle, Klaus et al. | 2014
- 4B.3.1
-
Analysis of reliability/performance trade-off in Solid State DrivesZuolo, Lorenzo / Zambelli, Cristian / Micheloni, Rino / Bertozzi, Davide / Olivo, Piero et al. | 2014
- 4B.4.1
-
Impact of sum of failure rates (SOFR) model on thermal design in SOC's for next generation game consolesMaitra, K. / Nguyen, T. / Langendorf, B. / Purtell, J. / Dixit, S. / Chen, S. / Liu, N. / Mccormack, M. / Gannamani, R. / Jensen, R. et al. | 2014
- 4B.5.1
-
Collaborative approach for practical modeling of microcircuit failures in high-reliability applicationsSunderland, David A. / Touw, Anduin E. / Bechtold, Lori E. / Moliere, Florian / Redman, David / Tawfellos, Bahig et al. | 2014
- 4C.1.1
-
Novel area-efficient techniques for improving ESD performance of Drain extended transistorsAppaswamy, Aravind / Farbiz, Farzan / Salman, Akram et al. | 2014
- 4C.2.1
-
Improvement on CDM ESD robustness of high-voltage tolerant nLDMOS SCR devices by using differential doped gateChen, S.-H. / Linten, D. / Scholz, M. / Hellings, G. / Boschke, R. / Groeseneken, G. / Huang, Y.-C. / Ker, M.-D. et al. | 2014
- 4C.3.1
-
Monolithic ESD protection for distributed high speed applications in 28-nm CMOS technologySalcedo, Javier A. / Parthasarathy, Srivatsan / Hajjar, Jean-Jacques et al. | 2014
- 4C.4.1
-
Design of a low leakage ESD clamp for high voltage supply in 65nm CMOS technologyParthasarathy, Srivatsan / Salcedo, Javier A. / Hajjar, Jean-Jacques et al. | 2014
- 4C.5.1
-
Novel dual direction PNP with self-bias ring structureTsai, Tsung-Che / Jam-Wem Lee, / Ming-Fu Tsai, / Yi-Feng Chang, / Shui-Ming Cheng, / Song, Ming-Hsiang et al. | 2014
- 5A.1.1
-
Electromigration simulation at circuit levelsTan, Cher Ming et al. | 2014
- 5A.2.1
-
Electromigration failure of circuit - like interconnects: Short length failure time distributions with active sinks and reservoirsOates, A.S. / Lin, M.H. et al. | 2014
- 5A.3.1
-
Interconnects exhibiting enhanced electromigration short-length effects by line width variationFilippi, R. G. / Wang, P.-C. / Kim, A. T. / Redder, B. / Hu, C.-K. et al. | 2014
- 5A.4.1
-
Variability challenges to electromigration (EM) lifetime projectionsLi, Baozhen / Christiansen, Cathryn / Filippi, Ronald et al. | 2014
- 5A.5.1
-
Scaling effects on microstructure and electromigration reliability for Cu and Cu(Mn) interconnectsCao, Linjun / Zhang, Lijuan / Ho, Paul S. / Justison, Patrick / Hauschildt, Meike et al. | 2014
- 5B.1.1
-
Dynamic off-state TDDB of ultra short channel HKMG nFETS and its implications on CMOS logic reliabilityKupke, S. / Knebel, S. / Rahman, S. / Slesazeck, S. / Mikolajick, T. / Agaiby, R. / Trentzsch, M. et al. | 2014
- 5B.2.1
-
Time-dependent clustering model versus combination-based approach for BEOL/MOL and FEOL non-uniform dielectric breakdown: Similarities and disparitiesWu, Ernest Y. / Li, Baozhen / Stathis, James H. / LaRow, Charles et al. | 2014
- 5B.3.1
-
Activation of electrically silent defects in the high-k gate stacksVeksler, D. / Bersuker, G. / Watkins, M. B. / Shluger, A. et al. | 2014
- 5B.4.1
-
Impact of ionic drift and vacancy defect passivation on TDDB statistics and lifetime enhancement of metal gate high-κ stacksRaghavan, Nagarajan / Pey, Kin Leong / Frey, Daniel D. / Bosman, Michel et al. | 2014
- 5B.5.1
-
The physical mechanism investigation of AC TDDB behavior in advanced gate stackChen, C. L. / Chang, S. W. / Chen, S. C. / Lee, Y.-H. / Lee, Y. W. / Huang, D. S. / Shih, J. R. / Wu, K. et al. | 2014
- 5C.3.1
-
Dielectric charging characterization in MEMS switches with insulator-insulator contactMolinero, David / Cunningham, Shawn / DeReus, Dana / Morris, Art et al. | 2014
- 5C.5.1
-
Influence of temperature distribution on behavior, modeling, and reliability of BAW resonatorsTag, A. / Weigel, R. / Hagelauer, A. / Bader, B. / Huck, C. / Pitschi, M. / Wagner, K. / Karolewski, D. / Schaffel, C. et al. | 2014
- 5D.1.1
-
A new gate pattern measurement for evaluating the BTI degradation in circuit conditionsSubirats, A. / Garros, X. / Cluzel, J. / El Husseini, J. / Cacho, F. / Federspiel, X. / Huard, V. / Rafik, M. / Reimbold, G. / Faynot, O. et al. | 2014
- 5D.2.1
-
Non-Monte-Carlo methodology for high-sigma simulations of circuits under workload-dependent BTI degradation—Application to 6T SRAMWeckx, P. / Kaczer, B. / Kukner, H. / Roussel, J. / Raghavan, P. / Catthoor, F. / Groeseneken, G. et al. | 2014
- 5D.3.1
-
AAS-Maps: Aging-aware sensitivity-maps for reliability driven analog circuit designHellwege, Nico / Heidmann, Nils / Peters-Drolshagen, Dagmar / Paul, Steffen et al. | 2014
- 5D.4.1
-
HCI/BTI coupled model: The path for accurate and predictive reliability simulationsCacho, F. / Mora, P. / Arfaoui, W. / Federspiel, X. / Huard, V. et al. | 2014
- 5D.5.1
-
An LDMOS hot carrier model for circuit reliability simulationSasse, Guido T. / Claes, Jan A.M. / De Vries, Bart et al. | 2014
- 5E.1.1
-
Modeling of crystallization kinetics in phase change memories for set and read disturb regimesCiocchini, Nicola / Ielmini, Daniele et al. | 2014
- 5E.2.1
-
Impact of electrode nature on the filament formation and variability in HfO2 RRAMTraore, B. / Blaise, P. / Vianello, E. / Jalaguier, E. / Molas, G. / Nodin, J.F. / Perniola, L. / De Salvo, B. / Nishi, Y. et al. | 2014
- 5E.3.1
-
Impact of SET and RESET conditions on CBRAM high temperature data retentionBarci, M. / Guy, J. / Molas, G. / Vianello, E. / Toffoli, A. / Cluzel, J. / Roule, A. / Bernard, M. / Sabbione, C. / Perniola, L. et al. | 2014
- 5E.4.1
-
Reset-induced variability of retention characteristics in phase change memory (PCM)Rizzi, M. / Ciocchini, N. / Montefiori, A. / Ferro, M. / Lacaita, A. L. / Fantini, P. / Ielmini, D. et al. | 2014
- 5E.5.1
-
In situ biasing TEM investigation of resistive switching events in TiO2-based RRAMJonghan Kwon, / Picard, Yoosuf N. / Skowronski, Marek / Sharma, Abhishek A. / Bain, James A. et al. | 2014
- 5F.1.1
-
IRT: A modeling system for single event upset analysis that captures charge sharing effectsFoley, Kerryann / Seifert, Norbert / Velamala, Jyothi B. / Bennett, William G. / Gupta, Shashank et al. | 2014
- 5F.2.1
-
Impact of technology scaling on the combinational logic soft error rateMahatme, N. N. / Gaspard, N. J. / Assis, T. / Jagannathan, S. / Chatterjee, I. / Loveless, T. D. / Bhuva, B. L. / Massengill, L. W. / Wen, S. J. / Wong, R. et al. | 2014
- 5F.3.1
-
Sensitivity of NOR Flash memories to wide-energy spectrum neutrons during accelerated testsBagatin, M. / Gerardin, S. / Paccagnella, A. / Visconti, A. / Chiavarone, L. / Calabrese, M. / Frost, C. D. et al. | 2014
- 5F.4.1
-
High-speed pulsed-hysteresis-latch design for improved SER performance in 20 nm bulk CMOS processNarasimham, Balaji / Chandrasekharan, Karthik / Wang, Jung K. / Djaja, Gregory / Gaspard, Nelson J. / Mahatme, Nihaar N. / Assis, Thiago R. / Bhuva, Bharat L. et al. | 2014
- 5F.5.1
-
Heavy ions test result on a 65nm Sparc-V8 radiation-hard microprocessorBottoni, C. / Glorieux, M. / Daveau, J.M. / Gasiot, G. / Abouzeid, F. / Clerc, S. / Naviner, L. / Roche, P. et al. | 2014
- 6A.1.1
-
Breakdown mechanisms in MgO based magnetic tunnel junctions and correlation with low frequency noiseAmara-Dababi, S. / Sousa, R.C. / Bea, H. / Baraduc, C. / Mackay, K. / Dieny, B. et al. | 2014
- 6A.2.1
-
Suitability of high-k gate oxides for III–V devices: A PBTI study in In0.53Ga0.47As devices with Al2O3Franco, J. / Alian, A. / Kaczer, B. / Lin, D. / Ivanov, T. / Pourghaderi, A. / Martens, K. / Mols, Y. / Zhou, D. / Waldron, N. et al. | 2014
- 6A.3.1
-
SiGe composition and thickness effects on NBTI in replacement metal gate / high-κ technologiesSrinivasan, P. / Fronheiser, J. / Akarvardar, K. / Kerber, A. / Edge, L.F. / Southwick, R. G. / Cartier, E. / Kothari, H. et al. | 2014
- 6A.4.1
-
A comprehensive DC/AC model for ultra-fast NBTI in deep EOT scaled HKMG p-MOSFETsGoel, N. / Mukhopadhyay, S. / Nanaware, N. / De, S. / Pandey, R. K. / Murali, K. V. R. M. / Mahapatra, S. et al. | 2014
- 6A.5.1
-
Bias temperature instability variation on SiON/Poly, HK/MG and trigate architecturesPrasad, C. / Agostinelli, M. / Hicks, J. / Ramey, S. / Auth, C. / Mistry, K. / Natarajan, S. / Packan, P. / Post, I. / Bodapati, S. et al. | 2014
- 6A.6.1
-
Correlation of BTI induced device parameter degradation and variation in scaled Metal Gate / High-k CMOS technologiesKerber, A. / Nigam, T. et al. | 2014
- 6B.1.1
-
Circuit speed timing jitter increase in random logic operation after NBTI stressJiao, G. F. / Lu, J. W. / Campbell, J. P. / Ryan, J. T. / Cheung, K. P. / Young, C. D. / Bersuker, G. et al. | 2014
- 6B.2.1
-
Fast characterization of PBTI and NBTI induced frequency shifts under a realistic recovery bias using a ring oscillator based circuitWang, Xiaofei / Seung-hwan Song, / Paul, Ayan / Kim, Chris H. et al. | 2014
- 6B.3.1
-
The impact of Hot Carrier Injection (HCI) on Voltage Control Oscillator lifetime predictionHo, Chih-Hsiang / Jenkins, Keith A. / Ainspan, Herschel / Ray, Emily / Peilin Song, et al. | 2014
- 6B.4.1
-
Adaptive Wearout Management with in-situ aging monitorsHuard, V. / Cacho, F. / Giner, F. / Saliva, M. / Benhassain, A. / Patel, D. / Torres, N. / Naudet, S. / Jain, A. / Parthasarathy, C. et al. | 2014
- 6B.5.1
-
Fast aging degradation rate prediction during production testWang, Xiaoxiao / Winemberg, LeRoy / Haggag, Amr / Chayachinda, Joe / Saluja, Amandeep / Tehranipoor, Mohammad et al. | 2014
- 6C.1.1
-
Correlating reliability to yield for liftoff metallizationRoesch, William J. / Hamada, Dorothy June M. et al. | 2014
- 6C.2.1
-
Threshold voltage instabilities in D-mode GaN HEMTs for power switching applicationsMeneghesso, G. / Silvestri, R. / Meneghini, M. / Cester, A. / Zanoni, E. / Verzellesi, G. / Pozzovivo, G. / Lavanga, S. / Detzel, T. / Haberlen, O. et al. | 2014
- 6C.3.1
-
Enhancement of Vth drift for repetitive gate stress pulses due to charge feedback effect in GaN MIS-HEMTsLagger, P. / Ostermaier, C. / Pogany, D. et al. | 2014
- 6C.4.1
-
Threshold voltage drift (PBTI) in GaN D-MODE MISHEMTs: Characterization of fast trapping componentsLansbergen, G.P. / Wong, K.Y. / Lin, Y.S. / Yu, J.L. / Yang, F.J. / Tsai, C.L. / Oates, A.S. et al. | 2014
- 6C.5.1
-
Current collapse in GaN heterojunction field effect transistors for high-voltage switching applicationsJoh, Jungwoo / Tipirneni, Naveen / Pendharkar, Sameer / Krishnan, Srikanth et al. | 2014
- 6C.6.1
-
Role of buffer doping and pre-existing trap states in the current collapse and degradation of AlGaN/GaN HEMTsMeneghini, Matteo / Rossetto, Isabella / Bisi, Davide / Stocco, Antonio / Cester, Andrea / Meneghesso, Gaudenzio / Zanoni, Enrico / Chini, Alessandro / Pantellini, Alessio / Lanzieri, Claudio et al. | 2014
- BD.1.1
-
Electrical breakdown in polymers for BEOL applications: Dielectric heating and humidity effectsPalit, Sambit / Alam, Muhammad Ashraful et al. | 2014
- BD.2.1
-
Tunneling currents and reliability of atomic-layer-deposited SiBCN for low-κ spacer dielectricsSouthwick, R.G. / Sathiyanarayanan, R. / Bajaj, M. / Mehta, S. / Yamashita, T. / Gundapaneni, S. / Pandey, R. K. / Wu, E. / Murali, K. V. R. M. / Cohen, S. et al. | 2014
- BD.3.1
-
TDDB at low voltages: An electrochemical perspectiveMuralidhar, R. / Shaw, T. / Chen, F. / Oldiges, P. / Edelstein, D. / Cohen, S. / Achanta, R. / Bonilla, G. / Bazant, M. et al. | 2014
- BD.4.1
-
Low-field TDDB reliability data to enable accurate lifetime predictionsLiniger, E. G. / Cohen, S. A. / Bonilla, G. et al. | 2014
- BD.5.1
-
Effect of line-overlay and via-misalignment on dielectric reliability for different patterning schemesCroes, Kristof / Ciofi, Ivan / Kocaay, Deniz / Tokei, Zsolt / Bommels, Jurgen et al. | 2014
- CA.2.1
-
DC / AC BTI variability of SRAM circuits simulated using a physics-based compact modelNaphade, T. / Verma, P. / Goel, N. / Mahapatra, S. et al. | 2014
- CA.3.1
-
On-chip aging compensation for output driverKumar, Vinod et al. | 2014
- CA.4.1
-
Universal NBTI model and its application for high frequency circuit simulationMa, C. / Mattausch, H. J. / Miura-Mattausch, M. / Matsuzawa, K. / Hoshida, T. / Imade, M. / Koh, R. / Arakawa, T. et al. | 2014
- CA.5.1
-
Scaling of BTI reliability in presence of time-zero variabilityKukner, Halil / Weckx, Pieter / Franco, Jacopo / Toledano-Luque, Maria / Cho, Moonju / Kaczer, Ben / Raghavan, Praveen / Doyoung Jang, / Miyaguchi, Kenichi / Bardon, Marie Garcia et al. | 2014
- CA.6.1
-
A reliability lab-on-chip using programmable arraysPfeifer, Petr / Kaczer, Ben / Pliva, Zdenek et al. | 2014
- CA.7.1
-
A physical and scalable aging model for digital library characterizationKufluoglu, Haldun / Cirba, C. / Chu, M. / Chen, M. / Datla, S. / Reddy, V. et al. | 2014
- CA.8.1
-
System-level modeling of microprocessor reliability degradation due to BTI and HCIChen, Chang-Chih / Soonyoung Cha, / Taizhi Liu, / Milor, Linda et al. | 2014
- CA.10.1
-
The impact of high Vth drifts tail and real workloads on SRAM reliabilityAngot, D. / Huard, V. / Quoirin, M. / Federspiel, X. / Haendler, S. / Saliva, M. / Bravaix, A. et al. | 2014
- CD.4.1
-
Trap-related parametric shifts under DC bias and switched operation life stress in power AlGaN/GaN HEMTsKhalil, S. G. / Ray, L. / Chen, M. / Chu, R. / Zehnder, D. / Garrido, A. / Munsi, M. / Kim, S. / Hughes, B. / Boutros, K. et al. | 2014
- CD.5.1
-
(CD-5) TDDB breakdown of th-SiO2 on 4H-SiC: 3D SEM failure analysisHayashi, M. / Tanaka, K. / Hata, H. / Sorada, H. / Kanzawa, Y. / Sawada, K. et al. | 2014
- CD.6.1
-
Electrical characterization and reliability analysis of Al2O3/AlGaN/GaN MISH structureJiechen Wu, / Xiaoxing Lu, / Shenglin Ye, / Park, Jinhee / Streit, Dwight et al. | 2014
- CD.11.1
-
High-voltage double-pulsed measurement system for GaN-based power HEMTsBisi, D. / Stocco, A. / Meneghini, M. / Rampazzo, F. / Cester, A. / Meneghesso, G. / Zanoni, E. et al. | 2014
- CP.2.1
-
On the prediction of optimal baking schedules in plastic SMD microelectronic devicesLee, Kheng Chooi / Alpern, Peter et al. | 2014
- EL.1.1
-
Improving ESD robustness of stacked diodes with embedded SCR for RF applications in 65-nm CMOSLin, Chun-Yu / Fan, Mei-Lian / Ker, Ming-Dou / Chu, Li-Wei / Tseng, Jen-Chou / Song, Ming-Hsiang et al. | 2014
- EL.2.1
-
Evaluation of geometry layout and metal pattern to optimize ESD performance of silicon controlled rectifier (SCR)Wang, Zhixin / Liou, Juin J. et al. | 2014
- EL.3.1
-
Lower trigger voltage design for ESD protection device applied in PMIC applicationHe, Yi-Ning / Chen, Lu-An / Tang, Tien-Hao / Su, Kuan-Cheng et al. | 2014
- EL.4.1
-
A voltage base electrothermal model for the interconnection and E-Fuse under the DC and pulse stressesLee, Jian-Hsing / Prabhu, Manjunatha / Iyer, Natarajan Mahadeva / Wu, Cheng-Hsu / Chen-Hsin Lien, et al. | 2014
- EL.5.1
-
Study on ESD protection design with stacked low-voltage devices for high-voltage applicationsDai, Chia-Tsen / Ker, Ming-Dou et al. | 2014
- ER.1.1
-
New methodology for drift analysis on reliability trialDe Tomasi, M. / Vaion, R. Enrici / Cola, L. / Zabberoni, P. / Mervic, A. et al. | 2014
- ER.2.1
-
Component and system effective lifetime & FIT model in advanced technologyLee, J.K. Jerry / Haggag, Amr et al. | 2014
- ER.4.1
-
Is your silicon reliable? A system approach of silicon qualification methodologyYu, Haiying / Curtis, A. / Marathe, A. / Master, R. et al. | 2014
- FA.1.1
-
N-contacts degradation analysis of white flip chip LEDs during reliability testsHamon, B. / Bataillou, B. / Mendizabal, L. / Gasse, A. / Feuillet, G. et al. | 2014
- FA.2.1
-
Imaging and nanoprobing of graphene layers on Ni damascene interconnects by conductive atomic force microscopyZhang, Li / Ishikura, Taishi / Wada, Makoto / Katagiri, Masayuki / Nishide, Daisuke / Matsumoto, Takashi / Sakuma, Naoshi / Kajita, Akihiro / Sakai, Tadashi et al. | 2014
- FA.3.1
-
Time resolved emission observation from top surface in avalanche breakdown of power MOSFETEndo, K. / Norimatsu, K. / Nakashima, K. / Setoya, T. / Nagamine, S. / Nakamura, T. / Koshikawa, K. / Nakamae, K. et al. | 2014
- FA.4.1
-
Adaptive grinding and polishing of packaged integrated circuitsChivas, Robert / Silverman, Scott et al. | 2014
- GD.1.1
-
Demonstrating individual leakage path from random telegraph signal of stress induced leakage currentTeramoto, A. / Inatsuka, T. / Obara, T. / Akagawa, N. / Kuroda, R. / Sugawa, S. / Ohmi, T. et al. | 2014
- GD.2.1
-
A single device based voltage step stress (VSS) technique for fast reliability screeningJi, Z. / Zhang, J. F. / Zhang, W. / Zhang, X. / Kaczer, B. / De Gendt, S. / Groeseneken, G. / Ren, P. / Wang, R. / Huang, R. et al. | 2014
- GD.3.1
-
Trap Generation in IL and HK layers during BTI / TDDB stress in scaled HKMG N and P MOSFETsMukhopadhyay, S. / Joshi, K. / Chaudhary, V. / Goel, N. / De, S. / Pandey, R. K. / Murali, K. V. R. M. / Mahapatra, S. et al. | 2014
- GD.4.1
-
Defect density evaluation in a high-k MOSFET gate stack combining experimental and modeling methodsPuglisi, F. M. / Veksler, D. / Matthews, K. / Bersuker, G. / Larcher, L. / Padovani, A. / Vandelli, L. / Pavan, P. et al. | 2014
- GD.5.1
-
Voltage pulse stress effect on gate stack TDDB distributions at nanometric scale: Consequence on aging by ESDFoissac, R. / Blonkowski, S. / Gros-Jean, M. / Kogelschatz, M. et al. | 2014
- GD.6.1
-
Frequency dependence of TDDB & PBTI with OTF monitoring methodology in high-k/metal gate stacksBezza, A. / Rafik, M. / Roy, D. / Federspiel, X. / Mora, P. / Ghibaudo, G. et al. | 2014
- IT.1.1
-
Mechanism exploration on Cu interconnect negative resistance shift during stress migrationXiangFu Zhao, / Dulin Wang, / Gan, Howard / Zheng, Kevin / Wu, Jeff / Venson Chang, / Wei-Ting Kary Chien, et al. | 2014
- IT.2.1
-
Electromigration analysis of full-chip integrated circuits with hydrostatic stressGibson, Patrick / Hogan, Matthew / Sukharev, Valeriy et al. | 2014
- IT.3.1
-
Investigation of vacancy diffusion path for stress migration failure mode in highly scaled Cu/low-k interconnectsChen, S.-F. / Lu, Y. R. / Lin, J. H. / Lee, Y.-H. / Chang, H. C. / Wang, Y. C. / Li, Hui. / Lee, S. Y. / Chiu, C. C. / Wu, K. et al. | 2014
- IT.4.1
-
Reliability performance of different layouts of wide metal tracksKludt, Jorg / Weide-Zaage, Kirsten / Ackermann, Markus / Kovacs, Christian / Hein, Verena et al. | 2014
- IT.5.1
-
Model based method for electro-migration stress determination in interconnectsDemircan, Ertugrul / Shroff, Mehul et al. | 2014
- IT.6.1
-
Effect of via arrangement on electromigration performanceZhang, Z. / Basavalingappa, A. / Lloyd, J.R. / Tan, J. / Justison, P. et al. | 2014
- MY.1.1
-
Stacked-etch induced charge loss in Hybrid Floating Gate cells using high-κ Inter-Gate DielectricZahid, M. B. / Breuil, L. / Degraeve, R. / Blomme, P. / Tan, C.-L. / Lisoni, J. G. / Van den Bosch, G. / Van Houdt, J. et al. | 2014
- MY.2.1
-
Investigation of random telegraph noise amplitudes in hafnium oxide resistive memory devicesChung, Y. T. / Liu, Y. H. / Su, P. C. / Cheng, Y. H. / Tahui Wang, / Chen, M. C. et al. | 2014
- MY.3.1
-
Write disturb analyses on half-selected cells of cross-point RRAM arraysLi, Haitong / Chen, Hong-Yu / Chen, Zhe / Chen, Bing / Liu, Rui / Qiu, Gang / Huang, Peng / Zhang, Feifei / Zizhen Jiang, / Gao, Bin et al. | 2014
- MY.4.1
-
Voltage-dependent random telegraph noise (RTN) in HfOx resistive RAMBalatti, Simone / Ambrogio, Stefano / Cubeta, Antonio / Calderoni, Alessandro / Ramaswamy, Nirmal / Ielmini, Daniele et al. | 2014
- MY.5.1
-
Instability of HfO2 RRAM devices: Comparing RTN and cycling variabilityPuglisi, F. M. / Larcher, L. / Pavan, P. / Padovani, A. / Bersuker, G. et al. | 2014
- MY.7.1
-
Bit error rate analysis in Charge Trapping memories for SSD applicationsGrossi, Alessandro / Zambelli, Cristian / Olivo, Piero et al. | 2014
- MY.8.1
-
Reliability investigation of T-RAM cells for DRAM applicationsMulaosmanovic, Halid / Paolucci, Giovanni M. / Monzio Compagnoni, Christian / Castellani, Niccolo / Carnevale, Gianpietro / Fantini, Paolo / Ventrice, Domenico / Vigano, Sara / Conti, Anna M. / Righetti, Niccolo et al. | 2014
- MY.9.1
-
Stochastic failure model for endurance degradation in vacancy modulated HfOx RRAM using the percolation cell frameworkRaghavan, Nagarajan / Pey, Kin Leong / Frey, Daniel D. / Bosman, Michel et al. | 2014
- MY.10.1
-
Energy control paradigm for compliance-free reliable operation of RRAMShrestha, P. R. / Nminibapiel, D. / Kim, J-H. / Campbell, J. P. / Cheung, K. P. / Deora, S. / Bersuker, G. / Baumgart, H. et al. | 2014
- PI.1.1
-
New circuit model for investigating plasma damage in FDSOI devicesAkbal, M. / Ribes, G. / Poiroux, T. / Carrere, J-P. / Vallier, L. et al. | 2014
- PI.2.1
-
Defect formation in III–V fin grown by aspect ratio trapping technique: A first-principles studyMinari, H. / Yoshida, S. / Sawada, K. / Nakazawa, M. / Pourtois, G. / Merckling, C. / Waldron, N. / Guo, W. / Jiang, S. / Collaert, N. et al. | 2014
- PI.3.1
-
Effect of I/O oxide process optimization on the nbti dependence of Tinv scaling for a 20 nm bulk planar Replacement Gate processTian, C. E. / La Rosa, G. / Liu, W. / Jin, M. / Lai, W. L. / Siddiqui, S. / Guarin, F. / Kothari, H. / McMahon, W. / Uppal, S. et al. | 2014
- PR.1.1
-
System-level estimation of threshold voltage degradation due to NBTI with I/O measurementsCha, Soonyoung / Chen, Chang-Chih / Milor, Linda S. et al. | 2014
- PR.2.1
-
Using thermal cycle and temperature / voltage testing to reduce the incidence of resistive / open reliability defectsSwift, Ann et al. | 2014
- PR.3.1
-
Set level at speed HTOL test for reliability qualification of high speed mobile applicationsPark, Jongwoo / Wooyeon Kim, / Taeyong Lee, / Donghee Lee, / Jeongsik Lim, / Jiheon Jeong, / Yunhwan Kim, / Lee, Kyongtaek / Joungsu Ryu, / Sang-Chul Shin, et al. | 2014
- PR.4.1
-
Analysis of the reliability impact on high-k metal gate SRAM with assist-circuitChiu, Y.T. / Wang, Y.F. / Lee, Y.-H. / Liang, Y.C. / Wang, T.C. / Wu, S.Y. / Hsieh, C.C. / Wu, K. et al. | 2014
- PR.5.1
-
Setting use conditions for reliability modelingKwasnick, Robert / Polasam, Praveen / Lucero, Alan et al. | 2014
- SE.1.1
-
65 nm fault tolerant latch architecture based on transient propagation blockingGlorieux, Maximilien / Clerc, Sylvain / Gasiot, Gilles / Autran, Jean-Luc / Roche, Philippe et al. | 2014
- SE.2.1
-
Impact of body bias on soft error tolerance of bulk and Silicon on Thin BOX structure in 65-nm processKuiyuan Zhang, / Manzawa, Yuuki / Kobayashi, Kazutoshi et al. | 2014
- SE.3.1
-
Preventing single event latchup with deep P-well on P-substrateUemura, T. / Kato, T. / Tanabe, R. / Iwata, H. / Matsuyama, H. / Hashimoto, M. / Takahisa, K. / Fukuda, M. / Hatanaka, K. et al. | 2014
- SE.4.1
-
Utilizing device stacking for area efficient hardened SOI flip-flop designsKauppila, J. S. / Loveless, T. D. / Quinn, R. C. / Maharrey, J. A. / Alles, M. L. / McCurdy, M. W. / Reed, R. A. / Bhuva, B. L. / Massengill, L. W. / Lilja, K. et al. | 2014
- SE.5.1
-
Soft error rate comparison of various hardened and non-hardened flip-flops at 28-nm nodeGaspard, N. / Jagannathan, S. / Diggins, Z. J. / Mahatme, N. N. / Loveless, T. D. / Bhuva, B. L. / Massengill, L. W. / Holman, W. T. / Narasimham, B. / Oates, A. et al. | 2014
- SE.6.1
-
SER/SEL performances of SRAMs in UTBB FDSOI28 and comparisons with PDSOI and BULK counterpartsGasiot, Gilles / Soussan, Dimitri / Glorieux, Maximilien / Bottoni, Cyril / Roche, Philippe et al. | 2014
- XT.1.1
-
Similarity and difference in temperature dependent recovery of HCS and NBTIYonamoto, Y. et al. | 2014
- XT.2.1
-
BTI recovery in 22nm tri-gate technologyRamey, S. / Hicks, J. / Liyanage, L. S. / Novak, S. et al. | 2014
- XT.3.1
-
Frequency dependence of NBTI in high-k/metal-gate technologyHsieh, M.-H. / Maji, D. / Huang, Y.-C. / Yew, T.-Y. / Wang, W. / Lee, Y.-H. / Shih, J.R. / Wu, K. et al. | 2014
- XT.4.1
-
Gate bias temperature stress-induced off-state leakage in nMOSFETs: Mechanism, lifetime model and circuit design considerationTeng, A.S. / Lai, K.W. / Tu, Ronnie / Lee, M.Y. / Kuo, Albert / Chao, Y.H. / Lin, C.W. / Liu, K.W. / Tsai, W.J. / Lu, C.Y. et al. | 2014
- XT.5.1
-
The effects of biaxially-tensile strain to properties of Si/SiO2 interface states generated by electrical stressCai, W.-L. / Takenaka, M. / Takagi, S. et al. | 2014
- XT.6.1
-
Process dependence of AC/DC PBTI in HKMG n-MOSFETsLiu, W. / La Rosa, G. / Tian, C. / Boffoli, S. / Guarin, F. / Lai, W. L. / Narayanan, V. / Kothari, H. / Jin, M. / Uppal, S. et al. | 2014
- XT.7.1
-
Combined Ramp Voltage Stress and Constant Voltage Stress for optimal BTI voltage acceleration and lifetime modelingLinder, Barry P. / Ando, Takashi et al. | 2014
- XT.8.1
-
Experimental validation of self-heating simulations and projections for transistors in deeply scaled nodesBury, E. / Kaczer, B. / Roussel, P. / Ritzenthaler, R. / Raleva, K. / Vasileska, D. / Groeseneken, G. et al. | 2014
- XT.10.1
-
On electron-trap transformation and its unexpected frequency dependence under dynamic positive-bias temperature stressingTung, Z. Y. / Ang, D. S. / Gao, Y. et al. | 2014
- XT.11.1
-
Comprehensive study of NBTI under compressive and tensile strainWangran Wu, / Chang Liu, / Jiabao Sun, / Yi Shi, / Yi Zhao, et al. | 2014
- XT.12.1
-
Energy-driven Hot-Carrier model in advanced nodesArfaoui, W. / Federspiel, X. / Mora, P. / Monsieur, F. / Cacho, F. / Roy, D. / Bravaix, A. et al. | 2014
- XT.13.1
-
A reliable method for the extraction of the lateral position of defects in ultra-scaled MOSFETsIllarionov, Yu.Yu. / Bina, M. / Tyaginov, S.E. / Rott, K. / Reisinger, H. / Kaczer, B. / Grasser, T. et al. | 2014
- XT.14.1
-
A new efficient method for characterizing time constants of switching oxide trapsGuo, Shaofeng / Ren, Pengpeng / Wang, Runsheng / Yu, Zhuoqing / Luo, Mulong / Zhang, Xing / Huang, Ru et al. | 2014
- XT.15.1
-
Hot-carrier induced dielectric breakdown (HCIDB) challenges of a new high performance LDMOS generationSchlunder, Christian / Heinrigs, Wolfgang / Landgraf, Erhard / Aresu, Stefano / Feick, Henning / Rohner, Michael / Gustin, Wolfgang / Dahl, Claus et al. | 2014
- XT.16.1
-
Physical modeling of hot-carrier degradation for short- and long-channel MOSFETsTyaginov, Stanislav / Bina, Markus / Franco, Jacopo / Osintsev, Dmitri / Triebl, Oliver / Kaczer, Ben / Grasser, Tibor et al. | 2014
- XT.17.1
-
New observations on the random telegraph noise induced Vth variation in nano-scale MOSFETsLiu, Changze / Kyong Taek Lee, / Hyunwoo Lee, / Yoohwan Kim, / Sangwoo Pae, / Jongwoo Park, et al. | 2014
- XT.18.1
-
A single-trap study of PBTI in SiON nMOS transistors: Similarities and differences to the NBTI/pMOS caseWaltl, Michael / Goes, Wolfgang / Rott, Karina / Reisinger, Hans / Grasser, Tibor et al. | 2014
- XT.19.1
-
Mechanical stress effects on p-channel MOSFET performance and NBTI reliabilityIoannou, Dimitris P. / La Rosa, Giuseppe et al. | 2014