A 1V CMOS active pixel sensor with enhanced dynamic range (Englisch)
- Neue Suche nach: Ro-Min Weng,
- Neue Suche nach: Chen-Lun Yen,
- Neue Suche nach: Chun-Yu Liu,
- Neue Suche nach: Ro-Min Weng,
- Neue Suche nach: Chen-Lun Yen,
- Neue Suche nach: Chun-Yu Liu,
In:
APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems
;
411-413
;
2008
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:A 1V CMOS active pixel sensor with enhanced dynamic range
-
Beteiligte:
-
Erschienen in:
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.11.2008
-
Format / Umfang:505760 byte
-
ISBN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
New architectures for low-power delta-sigma analog-to-digital converterTemes, Gabor C. et al. | 2008
- 7
-
Body channel communication for low energy BSN/BANYoo, Hoi-Jun / Cho, Namjun et al. | 2008
- 12
-
High-performance data converters: Trends, process technologies and design challengesMaloberti, F. et al. | 2008
- 17
-
A low offset rail-to-rail 12b 2MS/s 0.18μm CMOS cyclic ADCKim, Young-Ju / Choi, Hee-Cheol / Yoo, Pil-Seon / Lee, Dong-Suk / Choi, Joong-Ho / Lee, Seung-Hoon et al. | 2008
- 21
-
A 1.8 V 12-bit 230-MS/s pipeline ADC in 0.18 micrometer CMOS technologyLiechti, T. / Tajalli, A. / Akgun, O.C. / Toprak, Z. / Leblebici, Y. et al. | 2008
- 21
-
A 1.8V 12-bit 230-MS/s pipeline ADC in 0.18μm CMOS technologyLiechti, Thomas / Tajalli, Armin / Akgun, Omer Can / Toprak, Zeynep / Leblebici, Yusuf et al. | 2008
- 25
-
A flexible 12-bit self-calibrated quad-core current-steering DACRadulov, Georgi I. / Quinn, Patrick J. / Hegt, Hans / van Roermund, Arthur et al. | 2008
- 29
-
Reconfigurable baseband processing platform for communication systemsZhou, Xiaofang / Zhao, Shuang / Lu, Wenqing / Lu, Chao / Sobelman, Gerald E. et al. | 2008
- 33
-
Enhanced channel selection using digital low-IF in Weaver receiver architectureChan, Misteltein Pak-Kee / Choy, Oliver Chiu-Sing / Pun, Kong-Pang / Chan, Cheong-Fat / Leung, Alex Ka-Nang et al. | 2008
- 37
-
Mapping algorithms of MIMO to a Multi-Pipeline Reconfigurable SystemLi, Li / Yongsheng Yin, / Minglun Gao, et al. | 2008
- 41
-
Scalable interpolation architecture for soft-decision Reed-Solomon decodingZhu, Jiangli / Zhang, Xinmiao et al. | 2008
- 45
-
Flexible LDPC decoder architecture for high-throughput applicationsKim, Sangmin / Sobelman, Gerald E. / Lee, Hanho et al. | 2008
- 49
-
Cumulative electrostatic discharge induced degradation of power-rail ESD clamp device in high-voltage CMOS/DMOS technologiesChung-Ti Hsu, / Shu-Chuan Chen, / Yen-Hsien Chen, / Yu-Ti Su, / Ming-Fang Lai, / Che-Hung Chen, / Po-An Chen, et al. | 2008
- 53
-
UVeriESD: An ESD verification tool for SoC designHsueh, K. Kelvin / Sin-Hao Ke, / Lee, Jeffrey / Rosenbaum, Elyse et al. | 2008
- 57
-
Active ESD protection design against cross-power-domain ESD stresses in CMOS integrated circuitsChen, Shih-Hung / Chih-Ting Yeh, et al. | 2008
- 61
-
Measurement on snapback holding voltage of high-voltage LDMOS for latch-up considerationWen-Yi Chen, / Ming-Dou Ker, / Yeh-Jen Huang, / Yeh-Ning Jou, / Geeng-Lih Lin, et al. | 2008
- 65
-
A vision sensor with background subtraction processor using mixed analog-digital architectureUmezawa, Jun / Kitazawa, Hitoshi et al. | 2008
- 69
-
PCA/ICA-based SVM for fall recognition using MEMS motion sensing dataGuangyi Shi, / Yuexian Zou, / Yufeng Jin, / Wen Jung Li, et al. | 2008
- 73
-
A low-power RF front-end of passive UHF RFID transpondersChangming Ma, / Xingjun wu, / Chun Zhang, / Zhihua Wang, et al. | 2008
- 77
-
PSoC implementing vehicle auxiliary vacuum brake system with Kalman filterChia-Chang Tong, / Yau-Jeng Tsai, / Shih-Fan Li, / Jhih-Yu Lin, / Ming-Han Ho, / Yu-Hao Lin, et al. | 2008
- 82
-
A simple and ultra-low power capacitive pressure micro-sensor sensing system designYa-Hsin Hsueh, / Jeng-Hsien Lin, / Meng-Kai Su, et al. | 2008
- 86
-
Q-band bandpass filter designs in heterodyne receiver for radio astronomyLin, Yo-Shen / Yu-Shu Hsieh, / Yuh-Jing Hwang, / Chau-Ching Chiong, et al. | 2008
- 90
-
Multi-spurious suppression for microstrip dual-mode bandpass filter using triple U-shaped defected ground structureChon Chio Leong, / Ting, Sio Weng / Tam, Kam Weng et al. | 2008
- 93
-
Compact microstrip square-loop dual-mode bandpass filter design with wideband responseTing-Shan Lv, / Fok, Si-Weng / Cheong, Pedro / Tam, Kam-Weng et al. | 2008
- 97
-
Experimental investigation of the operation characteristics of 3-phase 3-wire active power filterYang Han, / Wen-Xiang Song, / Lin Xu, / Gang Yao, / Li-Dan Zhou, / Khan, M. M. / Chen Chen, et al. | 2008
- 101
-
Study on assessment model of event-type power disturbancesXiao, Xiangning / Tao, Shun et al. | 2008
- 105
-
Research on harmonic penetration between different voltage levelsTengfei Wang, / Yongqiang Zhu, / Yonghai Xu, / Xiangning Xiao, et al. | 2008
- 109
-
A decoupling-controlled STATCOM for power quality improvement of impact loadsChunpeng Zhang, / Qirong Jiang, / Luyuan Tong, et al. | 2008
- 113
-
A 0.6-V 1.8-μW automatic gain control circuit for digital hearing aidYu-Cheng Su, / Shuenn-Yuh Lee, / An-Po Lin, et al. | 2008
- 117
-
A low-power bio-sensor interface with wide measurement rangeChai, Y. / Chan, P. K. et al. | 2008
- 121
-
An efficiency-enhanced CMOS voltage regulator module for bio-electronic implantsGong, Cihun-Siyong Alex / Kai-Wen Yao, / Yen-Huan Huang, / Muh-Tian Shiue, et al. | 2008
- 125
-
Patient classification based on pre-hospital heart rate variabilityPadmanabhan, Pavitra / Lin, Zhiping / Huang, Guang-Bin / Ong, Marcus Eng Hock et al. | 2008
- 129
-
Retinal vessel segmentation using histogram matchingGiri Babu Kande, / Savithri, T.Satya / Subbaiah, P.V. et al. | 2008
- 133
-
1.5-V 10-Ms/s 8-bit Pipeline ADC in 0.13 μm CMOS using metal fringe capacitorFuding Ge, / Kellar, Scot / Thomas, Brent et al. | 2008
- 137
-
A low power 12-bit 40MS/s pipelined ADC with digital calibrationHuayu Jia, / Guican Chen, / Hong Zhang, et al. | 2008
- 141
-
A two-stage pipelined passive charge-sharing SAR ADCImani, Alireza / Sharif Bakhtiar, Mehrdad et al. | 2008
- 145
-
A 12-bit 150-MSample/s current-steering DACZhong Shupeng, / Nick Tan, et al. | 2008
- 149
-
A new wideband, high-linear passive Sample and Hold structure suitable for high-speed, high-resolution ADCsDabbagh Sadeghipour, Khosrov et al. | 2008
- 153
-
A 1V low noise amplifier for WiMAX / UWB applicationsHsiu-Chun Lai, / Zhi-Ming Lin, et al. | 2008
- 156
-
Signal-to-noise ratio improvement of common-gate CMOS LNA for Ultra-Wide-BandTeppei Hayashi, / Hiroki Sato, / Akira Hyogo, / Keitaro Sekine, et al. | 2008
- 160
-
A 3–5GHz amplitude and spectrum tunable impulse radio UWB transmitterLingli Xia, / Weinan Li, / Yumei Huang, / Zhiliang Hong, et al. | 2008
- 164
-
A 480 Mbps MB-OFDM-based UWB baseband inner transceiverWen-Hua Wu, / Yuan-Wei Wu, / Hsi-Pin Ma, et al. | 2008
- 168
-
Area-time-power efficient VLSI design for residue-to-binary converter based on moduli set (2n,2n+1−1,2n−1)Su-Hon Lin, / Ming-Hwa Sheu, / Chao-Hsiang Wang, / Yuan-Ching Kuo, et al. | 2008
- 172
-
Design of square generator with small look-up tableWei-Chang Tsai, / Ming-Der Shieh, / Wen-Chin Lin, / Chin-Long Wey, et al. | 2008
- 176
-
High-speed and low-power serial accumulator for serial/parallel multiplierManas Ranjan Meher, / Ching-Chuen Jong, / Chip-Hong Chang, et al. | 2008
- 180
-
Low-latency VLSI architecture of a 3-input floating-point adderGuntoro, Andre / Glesner, Manfred et al. | 2008
- 184
-
Fast point operation architecture for Elliptic Curve CryptographyQingwei Li, / Zhongfeng Wang, / Xingcheng Liu, et al. | 2008
- 189
-
A new family of robust sequential partial update least mean M-estimate adaptive filtering algorithmsZhou, Y. / Chan, S. C. / Ho, K. L. et al. | 2008
- 193
-
Mean square error analysis of the PG algorithm for adaptive IIR notch filter with constrained poles and zeros using power spectral density methodPunchalard, R. / Koseeyaporn, J. / Wardkein, P. et al. | 2008
- 197
-
A new noise-constrained normalized least mean squares adaptive filtering algorithmChan, S. C. / Zhang, Z. G. / Zhou, Y. / Hu, Y. et al. | 2008
- 201
-
A variable parameter improved proportionate normalized LMS algorithmLiu, Ligang / Fukumoto, Masahiro / Zhang, Shiyong et al. | 2008
- 205
-
On the convergence analysis of the transform domain normalized LMS and related M-estimate algorithmsChan, S. C. / Zhou, Y. et al. | 2008
- 209
-
Fractional Fourier transform based auditory feature for language identificationWei-Qiang Zhang, / Liang He, / Tao Hou, / Jia Liu, et al. | 2008
- 213
-
Modified quadratic discrimination function for non-normal distribution and its application in speech emotion recognitionZhao Yan, / Zhao Li, / Zou Cairong, / Yu Yinhua, / Huang Chengwei, / Wang Qingyun, et al. | 2008
- 217
-
Gesture recognition based on 3D accelerometer for cell phones interactionZhenyu He, / Lianwen Jin, / Lixin Zhen, / Jiancheng Huang, et al. | 2008
- 221
-
Channel compensation technology in differential GSV-SVM speaker verification systemLiang He, / Wei-Qiang Zhang, / Yuxiang Shan, / Jia Liu, et al. | 2008
- 225
-
Cluster validation for subspace clustering on high dimensional dataLifei Chen, / Qingshan Jiang, / Shengrui Wang, et al. | 2008
- 229
-
Nonlinear decoupled control of back-to-back voltage source converterYan Gan-gui, / Jiang Gui-qiang, / Mu Gang, / Li Jun-hui, / Chen Tao, / Huang Ya-feng, / Wang Jian, et al. | 2008
- 233
-
Evaluation of disaster level caused by power quality problemsYongqiang Zhu, / Yingying Liu, / Yonghai Xu, / Xiangning Xiao, et al. | 2008
- 236
-
An optimal control strategy for power capacity based on railway power static conditionerYingdong Wei, / Qirong Jiang, / Xiujuan Zhang, et al. | 2008
- 240
-
Dynamic secondary arc analysis and simulation research under different line modelLixia Zhou, / Zhongdong Yin, / Xiangning Xiao, / Yueting Tan, et al. | 2008
- 246
-
Power saving mobile monitoring system on architecturesChen Chiung-Hsing, et al. | 2008
- 250
-
An ANT network bi-directional wireless homecare systemYa-Hsin Hsueh, / Kuan-Wei Chen, et al. | 2008
- 254
-
Automatic ECG interpretation via morphological feature extraction and SVM inference netsWai Kei Lei, / Ming Chui Dong, / Shi, Jun / Bin Bin Fu, et al. | 2008
- 259
-
Modeling malware propagation in complex networks based on cellular automataYurong Song, / Guo-Ping Jiang, / Yiran Gu, et al. | 2008
- 264
-
A mobile intelligent sphygmogram analyzerBin Bin Fu, / Ming Chui Dong, / Bing Nan Li, / Wai Kei Lei, et al. | 2008
- 268
-
SAR ADC algorithm with redundancyOgawa, Tomohiko / Kobayashi, Haruo / Hotta, Masao / Takahashi, Yosuke / Hao San, / Nobukazu Takai, et al. | 2008
- 272
-
A low kick back noise latched comparator for high speed folding and interpolating ADCYu Qi, / Guohe Zhang, / Zhibiao Shao, / Bo Wang, et al. | 2008
- 276
-
A pseudo-differential comparator-based pipelined ADC with common mode feedforward techniqueLi Ding, / Sio Chan, / Kim-Fai Wong, / Sai-Weng Sin, / Seng-Pan, U. / Martins, R.P. et al. | 2008
- 280
-
An ultra-low-power sensor interface built around a reconfigurable incremental sigma-delta modulator for sensor networks employing electromagnetic backscatterGay, Nicolas / Qiuyun Fu, / Hildebrandt, Ralf / Bansleben, Christian / Heiss, Michael / Fischer, Wolf-Joachim et al. | 2008
- 284
-
An ultra low-power Successive Approximation ADC using an offset-biased auto-zero comparatorSusanti, Y. / Chan, P. K. / Ong, V. K. S. et al. | 2008
- 288
-
A 0.13μm CMOS UWB receiver front-end using passive mixerWeinan Li, / Lingli Xia, / Yumei Huang, / Zhiliang Hong, et al. | 2008
- 292
-
A 18.9dB conversion gain folded mixer for WiMAX systemZhong-Cheng Su, / Zhi-Ming Lin, et al. | 2008
- 296
-
New architecture for envelope-tracking power amplifier for base stationAkihiro Kanbe, / Masato Kaneta, / Fuminori Yui, / Haruo Kobayashi, / Nobukazu Takai, / Tasuhiro Shimura, / Hitoshi Hirata, / Kentarou Yamagishi, et al. | 2008
- 300
-
60 GHz 130-nm CMOS second harmonic power amplifiersWernehag, Johan / Sjoland, Henrik et al. | 2008
- 304
-
A concurrent dual-band mixer with on-wafer balun for multi-standard applicationsRuey-Lue Wang, / Yan-Kuin Su, / Liu, C. H. / San-Chi Hung, / Pi-Jung Yang, / Yi-Shu Lin, et al. | 2008
- 308
-
A novel pipeline architecture for H.264/AVC CABAC decoderYuan-Teng Chang, et al. | 2008
- 312
-
A high throughput in-loop de-blocking filter supporting H.264/AVC BP/MP/HP video codingCheng-An Chien, / Hsiu-Cheng Chang, / Jiun-In Guo, et al. | 2008
- 316
-
Area and throughput trade-offs in design of arithmetic encoder for JPEG2000Bao-Feng Li, / Yong Dou, / Yuan-Wu Lei, et al. | 2008
- 320
-
A new VLSI 2-D diagonal-symmetry filter architecture designPei-Yu Chen, / Lan-Da Van, / Reddy, Hari C. / Chin-Teng Lin, et al. | 2008
- 324
-
Improving the design of parallel-pipeline cyclic decoders towards fault-secure versionsJaber, Houssein / Monteiro, Fabrice / Dandache, Abbas et al. | 2008
- 328
-
A personal identification method based on finger’s outlineSirisantisamrid, Kaset / Tirasesth, Kitti / Iwanaga, Shuhei / Matsuura, Takenobu et al. | 2008
- 332
-
Super-resolution image by curve fitting in the threshold decomposition domainTsz-Chun Ho, / Zeng, Bing et al. | 2008
- 336
-
A new iterative reconstruction scheme for signal reconstructionHonglin Huang, / Makur, Anamitra et al. | 2008
- 340
-
Short response Hilbert transform for edge detectionSoo-Chang Pei, / Jian-Jiun Ding, / Jiun-De Huang, / Guo-Cyuan Guo, et al. | 2008
- 344
-
Energy efficient lossless image compression with prediction-based transformEratne, Savithra / Puthenpurayil, Sebastian / John, Eugene et al. | 2008
- 348
-
Multi-Vth FinFET sequential circuits with independent-gate bias and work-function engineering for reduced power consumptionTawfik, Sherif A. / Kursun, Volkan et al. | 2008
- 352
-
A new statistical timing analyzer propagating delay and slew distributions simultaneouslyTakahashi, Shingo / Tsukiyama, Shuji et al. | 2008
- 356
-
A design method for skew tolerant latch designYuichi Nakamura, et al. | 2008
- 360
-
Nanoholes microscopyElkhatib, Tamer A. / Salama, Khaled N. et al. | 2008
- 364
-
Stochastic estimation trip frequency of sensitive equipment due to voltage sagXianyong Xiao, / Xuna Liu, / Honggeng Yang, et al. | 2008
- 368
-
Support vector machines based on Lyapunov exponents in power load forecasting modelDongxiao Niu, / Yongli Wang, / Zhihong Gu, et al. | 2008
- 372
-
Overview of radiation effects and design constraints off fully custom SMPSSantos, Mauro / Pires, Carlos / Guilherme, Jorge / Horta, Nuno et al. | 2008
- 376
-
Adjustable low consumption circuit for monitorization of power source voltages in a SoCDuarte, Rodrigo / Paisana, Julio / Santos, Marcelino / Lima, Floriberto et al. | 2008
- 380
-
Recurrent type ANFIS using local search technique for time series predictionTamura, Hiroki / Koichi Tanno, / Hisasi Tanaka, / Vairappan, Catherine / Zheng Tang, et al. | 2008
- 384
-
An extreme learning machine approach for training Time Variant Neural NetworksCingolani, Cristiano / Squartini, Stefano / Piazza, Francesco et al. | 2008
- 388
-
An efficient Elastic Net method for edge linking of imagesJunyan Yi, / Gang Yang, / Yuki Todo, / Zheng Tang, et al. | 2008
- 392
-
Design of CNN cell with low-power variable-gm OTA and its applicationHisashi Tanaka, / Tanno, Koichi / Tamura, Hiroki / Murao, Kenji et al. | 2008
- 396
-
A novel CMOS switched-current mode sequential shift forward inference circuit for fuzzy logic controllerNgai Kong, / Seng-Pan U, / Martins, R.P. et al. | 2008
- 400
-
A Li-ion battery charging design for biomedical implantsChi-Chun Huang, / Shou-Fu Yen, / Chua-Chin Wang, et al. | 2008
- 404
-
Architecture for Heart Rate measurementHao Jiang, / Zheying Li, / Le Hao, et al. | 2008
- 407
-
A novel current-mode readout circuit for ISFET sensorWang Chunhua, / Zhao Yan, et al. | 2008
- 411
-
A 1V CMOS active pixel sensor with enhanced dynamic rangeRo-Min Weng, / Chen-Lun Yen, / Chun-Yu Liu, et al. | 2008
- 414
-
A novel WSN based intelligent training system for children’s sensory integrationShaohua Liu, / Junsheng Yu, / Yinglong Ma, / Qi Dang, / Yilang Cen, / Hua Wang, / Di Wu, et al. | 2008
- 418
-
A low jitter DLL-based pulsewidth control loop with wide duty cycle adjustmentRo-Min Weng, / Chun-Yu Liu, / Yun-Chih Lu, et al. | 2008
- 422
-
Space-time multiuser detection with alternating soft interference cancellation in highly loaded CDMA systemsHoang-Yang Lu, / Wen-Hsien Fang, et al. | 2008
- 426
-
Design of variable fractional-delay 2-D FIR digital filters by weighted least-squares approachJong-Jy Shyu, / Soo-Chang Pei, / Yun-Da Huang, et al. | 2008
- 430
-
Real-time implementation of Wave Field Synthesis for sound reproduction systemsRomoli, Laura / Peretti, Paolo / Cecchi, Stefania / Palestini, Lorenzo / Piazza, Francesco et al. | 2008
- 434
-
A robust iterative inverse filtering approach for speech dereverberation in presence of disturbancesRotili, Rudy / Cifani, Simone / Principi, Emanuele / Squartini, Stefano / Piazza, Francesco et al. | 2008
- 438
-
An integrative algorithm for predicting protein coding regionsGuo, Shuo / Zhu, Yi-Sheng et al. | 2008
- 442
-
Cordic architecture for Hough Transform applicationsTsung Han Tsai, / Chia-Hao Yeh, / Yu-Jung Huang, et al. | 2008
- 446
-
Adaptive intra mode bit skip in intra codingDae-Yeon Kim, / Ki-Hun Han, / Yung-Lyul Lee, et al. | 2008
- 450
-
Radar echo envelope extraction using Teager energy operator and wavelet transformYuanqin Wang, / Ruoyu Zhang, / Jiacai Hong, / Jing Sun, et al. | 2008
- 454
-
An application of DSP to automatic PMD compensation in optical fiber communication systemsGuangqing Fang, / Xiaoguang Zhang, et al. | 2008
- 457
-
High-performance floating-point VLSI architecture of lifting-based forward and inverse wavelet transformsGuntoro, Andre / Momeni, Massoud / Keil, Hans-Peter / Glesner, Manfred et al. | 2008
- 461
-
Design of discrete coefficient frequency-response-masking FIR digital filtersChengyou Wang, / Hon Keung Kwan, et al. | 2008
- 465
-
Digital signal processing in electrical capacitance tomographyHuaxiang Wang, / Ziqiang Cui, / Yanbin Xu, / Lifeng Zhang, / Yongbo He, et al. | 2008
- 469
-
Stability analysis of a generalised 2D digital Roesser type systems via lagrange methodGuido Izuta, et al. | 2008
- 473
-
Single-channel blind separation of Two QPSK signals using per-survivor processingTu Shilong, / Zheng Hui, / Gu Na, et al. | 2008
- 477
-
A newly high-speed equalizer for QAM signalsMeng Zhang, / Zhixiang Jiang, / Zichuang Li, / Cheng Huang, / Liang Dai, et al. | 2008
- 481
-
Blind MIMO direct chaotic communication system identification via higher-order statisticYunrui Gong, / Di He, / Chen He, / Lingge Jiang, et al. | 2008
- 485
-
A decision-directed receiver for CDMA MIMO systems over multipath fading channelLin, Tsui-Tsai et al. | 2008
- 489
-
Power plant construction project safety management evaluation with fuzzy neural network modelDongxiao Niu, / Yongli Wang, / Xiaoyong Ma, et al. | 2008
- 493
-
A new method of multichannel loudness compensation for hearing aidsWang Qingyun, / Zhao Li, / Qiao Jie, / Zou Cairong, et al. | 2008
- 497
-
DTCWT-based dynamic texture features for visual speech recognitionFeng, Xiaohui / Wang, Weining et al. | 2008
- 501
-
Curved segmentation path generation for unconstrained handwritten Chinese text linesNanxi Li, / Xue Gao, / Lianwen Jin, et al. | 2008
- 506
-
An IA-Brush interactive painting systemDing, Jiun-Ren et al. | 2008
- 510
-
VoIP frame bundling for WiMAX downlinkFan Xiangning, / Zhu Dengkui, et al. | 2008
- 514
-
Efficient bit-rate estimation technique for CABACChen, Shuming / Shuwei, et al. | 2008
- 518
-
A new image coding quality assessmentXuehui Wei, / Lunli, / Gang Chen, et al. | 2008
- 522
-
Efficient pre-clipping and clipping algorithms for 3D graphics geometry computationShen-Fu Hsiao, / Yuan-Nan Chang, / Tze-Ching Tien, / Kun-Chih Chen, et al. | 2008
- 526
-
Sub-1V capacitor-free low-power-consumption LDO with digital controlled loopJiann-Jong Chen, / Ming-Shian Lin, / Ho-Cheng Lin, / Yuh-Shyan Hwang, et al. | 2008
- 530
-
Low-quiescent-current fast-response current-feedback shunt regulatorJiann-Jong Chen, / Ming-Shian Lin, / Che-Min Kung, / Yuh-Shyan Hwang, et al. | 2008
- 534
-
Li-ion battery management chip for multi-cell battery packYe, Yidie / Chen, Chen / Jin, Jin / He, Lenian et al. | 2008
- 538
-
A design of CMOS bandgap reference with low thermal drift and low offsetLuo, Fang-Jie / Deng, Hong-Hui / Gao, Ming-Lun et al. | 2008
- 542
-
On the design of a power conversion circuitJiao, Mei / Yin, Yong-Sheng / Liang, Shang-Quan / Deng, Hong-Hui et al. | 2008
- 546
-
A CMOS 434/868 MHz FSK/OOK transmitter with integrated fractional-N PLLFeng Zhao, / Xiaoping Gao, / Huajiang Zhang, / Kangmin Hu, / Zhiliang Hong, et al. | 2008
- 550
-
5 GHz phase locked loop with auto band selectionKuo, Ko-Chi / Chen, Ming-Jing et al. | 2008
- 554
-
A 25-GHz differential LC-VCO in 90-nm CMOSTormanen, Markus / Sjoland, Henrik et al. | 2008
- 558
-
A 5GHz wide tuning range LC-VCO in sub-micrometer CMOS technologyDoldan Lorenzo, R. / Gines Arteaga, A. J. / Rueda, A. / Peralias, E. et al. | 2008
- 562
-
SSTA considering switching process induced correlationsWu, Zeqin / Maurine, Philippe / Azemard, Nadine / Ducharme, Gille et al. | 2008
- 566
-
Interconnect thermal simulation with higher order spatial accuracyYijiang Shen, / Ngai Wong, / Lam, Edmund Y. et al. | 2008
- 570
-
A novel leakage-estimation method for input-vector controlKeil, Hans-Peter / Momeni, Massoud / Guntoro, Andre / Garcia Ortiz, Alberto / Glesner, Manfred et al. | 2008
- 574
-
Three-level AND-OR-XOR network synthesis: A GA based approachPradhan, Sambhu Nath / Kumar, M. Tilak / Chattopadhyay, Santanu et al. | 2008
- 578
-
HW/SW co-simulation platforms for VLSI designXiang Ling, / Zhongqi Li, / Jianhao Hu, / Shihong Wu, et al. | 2008
- 582
-
Frequency synchronization for OFDM systems over doubly-selective channelsJianwu Chen, / Yik-Chung Wu, / Tung-Sang Ng, et al. | 2008
- 586
-
SDR Based Multi-Mode DBF for micro-satellite SARJeich Mar, / You-Rong Lin, et al. | 2008
- 590
-
Transmit/Receive beamformer design and resource allocation in multiuser MIMO-OFDM systemsShing-Chow Chan, / Shao-Hua Zhao, et al. | 2008
- 594
-
Localization and time synchronization in wireless sensor networks: A unified approachJun Zheng, / Yik-Chung Wu, et al. | 2008
- 598
-
A cross decision feedback gsc-based capon multiuser receiver for space-time block coded CDMA systemsWan-Shing Yang, / Kuan-Yu Chen, / Wen-Hsien Fang, / Hoang-Yang Lu, et al. | 2008
- 602
-
Parallel visualization of multiple translucent isosurfacesXie, Y. M. / Wang, G. Y. / Wong, T. T. / Heng, P. A. et al. | 2008
- 606
-
GPU accelerated fast FEM deformation simulationYouquan Liu, / Shaohui Jiao, / Wen Wu, / Suvranu De, et al. | 2008
- 610
-
Image spatial diffusion on GPUsLihua Zhu, / Wang, Charles / Guangfei Zhu, / Bo Han, / Heng Wang, / Peijie Huang, / En-Hua Wu, et al. | 2008
- 614
-
Real-time simulation of large-scale dynamic forest with GPULong Zhang, / Yubo Zhang, / Wei Chen, / Qunsheng Peng, et al. | 2008
- 618
-
Emerging technology about GPGPUEnhua Wu, / Youquan Liu, et al. | 2008
- 623
-
Locating observers and controllers for severely disturbed power system using mode matricesFang Yang, / Yuanzhang Sun, / Lin Cheng, / Jianyun Zhang, et al. | 2008
- 627
-
Field experiments of wide area damping controllers for multiple HVDC linksChao Lu, / Yingduo Han, / Xiaochen Wu, / Peng Li, / Jingtao Wu, / Jinghai Shi, et al. | 2008
- 631
-
Power system transient instability detection algorithm based on real time measurementBao-Hui Zhang, / Huan Xie, / Guang-liang Yu, / Peng Li, / Z.Q. Bo, / Klimek, A. et al. | 2008
- 635
-
Fast assessment of regional voltage stability based on WAMSZhao, Jinli / Zeng, Yuan / Wei, Wei / Jia, Hongjie et al. | 2008
- 639
-
PMU standard of ChinaJingtao Wu, / Daonong Zhang, / Ji Zhou, / Zhaojia Wang, / ShiMing Xu, et al. | 2008
- 642
-
A very-high output impedance current mirror for very-low voltage biomedical analog circuitsTanguay, Louis-Francois / Sawan, Mohamad / Savaria, Yvon et al. | 2008
- 646
-
A moving binary search SAR-ADC for low power biomedical data acquisition systemWen-Sin Liew, / Libin Yao, / Yong Lian, et al. | 2008
- 650
-
Low power integrated circuits for wireless neural recording applicationsXu Zhang, / Weihua Pei, / Qiang Gui, / Hongda Chen, et al. | 2008
- 654
-
Function-based memory partitioning on low power digital signal processor for cochlear implantsSongping Mai, / Chun Zhang, / Zhihua Wang, et al. | 2008
- 658
-
Microelectronic channel bridge and signal regeneration of injured spinal cordsZhi-Gong Wang, / Xiao-Song Gu, / Xiao-Ying Lu, / Zheng-Lin Jiang, / Wen-Yuan Li, / Guang-Ming Lu, / Yu-Feng Wang, / Hui-Ling Wang, / Zhen-Yu Zhang, / Hong-Mei Shen, et al. | 2008
- 662
-
A low supply-dependence fully-MOSFET voltage reference for low-voltage and low-powerShu, Jun / Min Cai, et al. | 2008
- 666
-
A sub-1 volt CMOS bandgap reference with high power supply rejectionSomvanshi, Sameer et al. | 2008
- 668
-
A topological comparison of PWM and hysteresis controls in switching audio amplifiersPillonnet, Gael / Cellier, Remy / Allier, Emmanuel / Abouchi, Nacer / Nagari, Angelo et al. | 2008
- 672
-
A high performance peak detector sample and hold circuit for detecting power supply noiseChow, Hwang-Cherng / Zhi-Hau Hor, et al. | 2008
- 676
-
Design of a 1.8V on-chip voltage generator for applications in low voltage transceiverSaw, Richard J. G. / Jamuar, Sudhanshu S. et al. | 2008
- 680
-
A 1.25Gbps all-digital clock and data recovery circuit with binary frequency acquisitionChi-Shuang Oulee, / Rong-Jyi Yang, et al. | 2008
- 684
-
A 10-Gb/s fully balanced differential output transimpedance amplifier in 0.18-μm CMOS technology for SDH/SONET applicationShammugasamy, B. / Zulkifli, T.Z.A. et al. | 2008
- 688
-
A 5Gb/s adaptive equalizer using filter switchingTsutomu Tomioka, / Takahiro Fujita, / Kozue Sasaki, / Hiroki Sato, / Akira Hyogo, / Keitaro Sekine, et al. | 2008
- 692
-
A 1.0 volt thermal noise-canceling CMOS transimpedance-based amplifierWangtaphan, Skawrat / Suadet, Apirak / Meksiri, Sukarasut / Kasemsuwan, Varakorn et al. | 2008
- 696
-
Design and implementation of the configuration circuit for FDP FPGAWang Yabin, / Xie, Jing / Lai, Jinmei / Tong, Jiarong et al. | 2008
- 701
-
FIR filter design on Flexible Engine/Generic ALU array and its dedicated synthesis algorithmRyo Tamura, / Masayuki Honma, / Nozomu Togawa, / Masao Yanagisawa, / Tatsuo Ohtsuki, / Makoto Satoh, et al. | 2008
- 705
-
Dynamically reconfigurable architecture for multi-rate compatible regular LDPC decodingNagashima, Akiyuki / Imai, Yuta / Togawa, Nozomu / Yanagisawa, Masao / Ohtsuki, Tatsuo et al. | 2008
- 709
-
An FPGA implementation of a DWT with 5/3 filter using semi-programmable hardwareAkira Yamawaki, / Kazuharu Morita, / Masahiko Iwane, et al. | 2008
- 713
-
A reconfigurable arbiter for SOC applicationsChing-Chien Yuan, / Yu-Jung Huang, / Shih-Jhe Lin, / Kai-hsiang Huang, et al. | 2008
- 717
-
Arithmetic reduction of adder leakage in nanoscale CMOSNilsson, Peter et al. | 2008
- 721
-
A novel configurable no dead-zone digital phase detector designMin Wang, / Zhiping Wen, / Lei Chen, / Yanlong Zhang, et al. | 2008
- 725
-
A high speed single photon counting moduleYi-Xin Guo, / Zhi-Biao Shao, / Hui-Bin Tao, / Ting Li, et al. | 2008
- 729
-
A low-power area-efficient SRAM with enhanced read stability in 0.18-μm CMOSGong, Cihun-Siyong Alex / Ci-Tong Hong, / Kai-Wen Yao, / Muh-Tian Shiue, et al. | 2008
- 733
-
FPGA-based embedded system designFuming Sun, / Xiaoying Li, / Qin Wang, / Chunlin Tang, et al. | 2008
- 737
-
Configuration representation of a link-type self-reconfigurable mobile robotJinguo Liu, / Shugen Ma, / Yuechao Wang, / Bin Li, / Cong Wang, et al. | 2008
- 741
-
Intelligent human-machine cooperative robot for orthopaedic surgeryPing-Lang Yen, / Syh-Shiuh Yeh, et al. | 2008
- 745
-
Inverse dynamics of 3-RRRT parallel manipulatorZhao, Xinhua / Li, Bin et al. | 2008
- 750
-
Decreasing end-effector deformation of the flexible manipulator through local motion planningYushu Bian, / Chao Yun, / Zhihui Gao, et al. | 2008
- 754
-
Experimental studies on a micromanipulator for micro/nano manipulationQingsong Xu, / Yangmin Li, et al. | 2008
- 758
-
Analysis of time delay effects on wide area damping controlJingbo He, / Chao Lu, / Xiaoming Jin, / Peng Li, et al. | 2008
- 762
-
Determination of wide-area PSS locations and feedback signals using improved residue matricesYe Yuan, / Yuanzhang Sun, / Lin Cheng, et al. | 2008
- 766
-
Interface control based on power flow tracing and generator re-dispatchingYu Xiaodan, / Jia Hongjie, / Zhao Jing, / Wei Wei, / Li Yan, / Zeng Yuan, et al. | 2008
- 773
-
A novel inter-area oscillation damping design based on wide area measurementsZhuxin Li, / Bonian Shi, / Yingduo Han, et al. | 2008
- 777
-
WAMS based dynamic state estimator during power system transient processTianshu Bi, / Xiaohui Qin, / Qixun Yang, et al. | 2008
- 781
-
Array blind calibration criterions for low-angle trackingBu-hong Wang, / Xiao-min Cao, et al. | 2008
- 785
-
Variable step-size speech blind separation employing Laplacian normal mixture distribution modelXueying Zhang, / Zhenhua Zhi, / Xiaomei Zhang, et al. | 2008
- 789
-
Throughput analysise of cooperative multiple packet reception based on NDMAJi, Wei / Zheng Baoyu, et al. | 2008
- 794
-
Adaptive blind equalization of SIMO FIR second order Volterra channelsDeergha Rao, K. et al. | 2008
- 798
-
Non-negative matrix factorization for images with Laplacian noiseLam, Edmund Y. et al. | 2008
- 802
-
An untrimmed CMOS amplifier with high CMRR and low offset for sensor applicationsZhang, X.L. / Chan, P.K. et al. | 2008
- 806
-
A nonlinearity error calibration technique based on an opamp distortion modelingJalili, A. / Sayedi, S. M. et al. | 2008
- 810
-
Highly-linear CMOS OTA with compensation of mobility reductionKoichi Tanno, / Daisuke Ide, / Kazumasa Nishimura, / Hisashi Tanaka, / Hiroki Tamura, et al. | 2008
- 814
-
An improved source follower with wide swing and low output impedanceSuming Lai, / Hong Zhang, / Guican Chen, / Jianchao Xu, et al. | 2008
- 818
-
A low power consumption, high speed Op-amp for a 10-bit 100MSPS parallel pipeline ADCLiang, Shang-Quan / Yin, Yong-Sheng / Deng, Hong-Hui / Song, Yu-Kun / Gao, Ming-Lun et al. | 2008
- 822
-
Optimal power allocation for channel estimation in MIMO-OFCDM systemsYiqing Zhou, / Tung-Sang Ng, et al. | 2008
- 826
-
A new M-estimator for performance analysis of cellular digital mobile radio systems including diversity techniqueAnil Kumar, T. / Deergha Rao, K. et al. | 2008
- 830
-
Gram-Schmidt-based QR decomposition for MIMO detection: VLSI implementation and comparisonLuethi, P. / Studer, C. / Duetsch, S. / Zgraggen, E. / Kaeslin, H. / Felber, N. / Fichtner, W. et al. | 2008
- 834
-
A high performance four-parallel 128/64-point radix-24 FFT/IFFT processor for MIMO-OFDM systemsHang Liu, / Hanho Lee, et al. | 2008
- 838
-
Low power multiplexer tree design using dynamic propagation path controlNan-Shing Li, / Juinn-Dar Huang, / Han-Jung Huang, et al. | 2008
- 842
-
Body-bootstrapped-buffer circuit for CMOS static power reductionLiang-Yu Loy, / Weijia Zhang, / Zhi-Hui Kong, / Wang-Ling Goh, / Kiat-Seng Yeo, et al. | 2008
- 846
-
A power-gating scheme for CAL circuits using single-phase power-clockWeiqiang Zhang, / Li Su, / Jinghong Fu, / Jianping Hu, et al. | 2008
- 850
-
An all digital spread spectrum clock generator with programmable spread ratio for SoC applicationsSheng, Duo / Chung, Ching-Che / Lee, Chen-Yi et al. | 2008
- 854
-
Cycle-time-aware sequential way-access set-associative cache for low energy consumptionChih-Hui Ting, / Juinn-Dar Huang, / Yu-Hsiang Kao, et al. | 2008
- 858
-
Design of fractional delay FIR filter using discrete cosine transformTseng, Chien-Cheng / Lee, Su-Ling et al. | 2008
- 862
-
Design of IIR fractional differentiator With Peano kernelPei, Soo-Chang / Wang, Peng-Hua / Lin, Chia-Huei et al. | 2008
- 866
-
Unconstrained IIR filter design method using argument principle based stability criterionAimin Jiang, / Hon Keung Kwan, et al. | 2008
- 870
-
A realization of digital filter banks for reconstruction of uniformly sampled signals from nonuniform samplesItami, Fumio / Watanabe, Eiji / Nishihara, Akinori et al. | 2008
- 874
-
A novel structure for 2nd order digital filtersLi, Gang / Huang, Chaogeng / Hua, Jingyu / Huang, Bin et al. | 2008
- 878
-
Efficient IFFT design using mapping methodIn-Gul Jang, / Yong-Eun Kim, / Yi-Nan Xu, / Jin-Gyun Chung, et al. | 2008
- 882
-
40-Gb/s two-parallel Reed-Solomon based Forward Error Correction architecture for optical communicationsSeungbeom Lee, / Hanho Lee, / Chang-Seok Choi, / Jongyoon Shin, / Je-Soo Ko, et al. | 2008
- 886
-
Adaptive Cholesky based MMSE equalizer in GSMSoojin Kim, / Jinyoung Lee, / Younglok Kim, et al. | 2008
- 890
-
Hardware efficient frequency estimator based on data-aided algorithm for digital video broadcasting systemRyu, Chang D. / Park, Jang W. / Sunwoo, Myung Hoon / Kim, Pan Soo / Chang, Dae-Ig et al. | 2008
- 894
-
Skew variation compensating technique for clock mesh networksJung, Gunok / Sahun Hong, / Donggyu Lee, / Park, Jinsoo / Sangdon Yi, / Yohan Kwon, / Ukrae Cho, / Park, Sung Bae et al. | 2008
- 898
-
Dynamic current limitation circuit for white LED driverXuecheng Zou, / Kai Yu, / Zheng, Zhaoxia / Xiaofei Chen, / Zhige Zou, / Dingbin Liao, et al. | 2008
- 902
-
Design of single-inductor dual-output switching converters with average current mode controlWeiwei Xu, / Xiaoting Zhu, / Zhiliang Hong, / Killat, Dirk et al. | 2008
- 906
-
Self-tuning PSM controller based on state machineLuo, Ping / Li, Zhaoji / Zhen, Shaowei / Zhang, Bo et al. | 2008
- 910
-
Optimizing the efficiency of DC-DC converters with an analog variable-frequency controllerLiu, Jia-Ming / Yu, Chun-Jen / Kuo, Yeong-Chau / Kuo, Tai-Haur et al. | 2008
- 914
-
High-resolution DPWM generator for digitally controlled DC-DC convertersMori, Ibuki / Kimura, Keigo / Yamada, Yoshihisa / Kobayashi, Haruo / Kobori, Yasunori / Wibowo, Santhos Ario / Shimizu, Kazuya / Kono, Masashi / San, Hao et al. | 2008
- 918
-
Block-based belief propagation with in-place message updating for stereo visionTseng, Yu-Cheng / Chang, Nelson Yen-Chung / Chang, Tian-Sheuan et al. | 2008
- 922
-
Omnidirection image restoration based on spherical perspective projectionBaofeng Zhang, / Zhiqiang Qi, / Junchao Zhu, / Zuoliang Cao, et al. | 2008
- 926
-
Analysis of color space and similarity measure impact on stereo block matchingChang, Nelson Yen-Chung / Tseng, Yu-Cheng / Chang, Tian Sheuan et al. | 2008
- 930
-
A virtual character recognition system based on optical detection of red light and its embedded implementationKai Ding, / Lianwen Jin, / Hanyu Yan, et al. | 2008
- 936
-
A CDFG generating method from C program for LSI designToshiyuki Kato, / Takaaki Miyauchi, / Yoshizo Osumi, / Hironori Yamauchi, / Hideto Nishikado, / Takaaki Miyake, / Kobayashi, Shiro et al. | 2008
- 940
-
Genetical tuning of a capacitorless current-mode bandpass biquad based on single-stage CMOS OTAChaisricharoen, Roungsan / Moonngam, Montira et al. | 2008
- 944
-
Synthesis of optimal OTA-C filter structures with arbitrary transmission zeros via MINLPKwan, Hing-Kit / Cheung, Chung-Man / Lei, Chi-Un / Wong, Ngai / Ho, Ka-Leung et al. | 2008
- 948
-
Delta discrete-time operator-based doubly-terminated two-port: Application to filter design and stability testingKhoo, I-Hung / Reddy, Hari C. / Moschytz, George S. et al. | 2008
- 952
-
A cascadable current-mode universal biquadratic filter using MO-CCCCTAsJaikla, Winai / Siripruchyanun, Montree et al. | 2008
- 956
-
Multi-bandwidth analog filter design for SDRYang Li, / Signell, Svante et al. | 2008
- 960
-
Low energy CMOS true single phase power supply clocking adiabatic differential cascode voltage switch logic circuitGarcia, Jose C. / Montiel-Nelson, Juan A. / Nooshabadi, Saeid et al. | 2008
- 964
-
Single-phase power-gating adiabatic flip-flopsHong Li, / Lifang Ye, / Jinghong Fu, / Jianping Hu, et al. | 2008
- 968
-
A CMOS adiabatic inverter operating with a single clock power supply to reduce non-adiabatic lossGaria, Jose C. / Montiel-Nelson, Juan A. / Nooshabadi, Saeid et al. | 2008
- 972
-
Topology-related effects of Gated-Vdd and Gated-Vss techniques on full-adder leakage and delay at 65nm and 45 nmNair, Pradeep / Eratne, Savithra / John, Eugene et al. | 2008
- 976
-
A pipelined asynchronous 8051 soft-core implemented with BalsaChen, Chang-Jiu / Cheng, Wei-Min / Tsai, Ruei-Fu / Tsai, Hung-Yue / Wang, Tuan-Chieh et al. | 2008
- 980
-
Design of sparse arrays with high sidelobe rejectionMondal, Kalyan / Mitra, Sanjit K. et al. | 2008
- 984
-
An FPGA architecture for real-time polyphase 2D FIR double-trapezoidal plane-wave filtersGunaratne, Thushara K. / Madanayake, H.L.P. Arjuna / Bruton, Len T. et al. | 2008
- 988
-
Recursive robust variable loading mvdr beamforming in impulsive noise environmentY. X., Zou / S.C., Chan / Wan, Bo / Zhao, Jing et al. | 2008
- 992
-
Efficient time varying frequency tracking using recursive estimationAbeysekera, Saman S. et al. | 2008
- 996
-
Design of broadband beamformers for microphone arrays using variably-weighted least squaresHuawei Chen, / Wee Ser, et al. | 2008
- 1000
-
Timing driven force-directed floorplanning with incremental static timing analyzerWon-Jin Kim, / Ahn, Byung-Gyu / Ki-Seok Chung, / Jong-Wha Chung, / Sung-Hwan Oh, et al. | 2008
- 1004
-
Timing variation-aware high level synthesis: Current results and research challengesJung, Jongyoon / Kim, Taewhan et al. | 2008
- 1008
-
A second-order gate delay modeling method with an efficient sensitivity analysisSangwoo Han, / Yooseong Kim, / Woosick Choi, / Inho Shin, / Youngdoo Choi, et al. | 2008
- 1012
-
Efficient cell characterization for SSTANaeun Zang, / Park, Eunsuk / Juho Kim, et al. | 2008
- 1016
-
Incremental statistical static timing analysis with gate timing yield emphasisJin Wook Kim, / Wook Kim, / Park, Hyoun Soo / Young Hwan Kim, et al. | 2008
- 1020
-
A novel space vector modulation method of three-level inverter based on the synthesized middle space vectorsWen-Xiang Song, / Yang Han, / Qian Ai, / Chen Chen, et al. | 2008
- 1029
-
A capacitive-coupled transformerless active power filter with coupling current feedback controlChi-Seng Lam, / Man-Chung Wong, et al. | 2008
- 1033
-
Evaluation of quasi-resonant dc-link technique on generalized three-level inverterIo-Keong Lok, / Wong, Man-Chung et al. | 2008
- 1037
-
Process simulation of Trench Gate and Plate and Trench Drain SOI NLIGBT with TCAD toolsZhang, H.P. / Sun, L.L. / Jiang, L.F. / Ma, L.J. / Lin, M. et al. | 2008
- 1041
-
CEERP: Cost-based Energy-Efficient Routing Protocol in wireless sensor networksDongsheng Yuan, / Xingcheng Liu, / Xiaoyu Zhang, / Haengrae Cho, et al. | 2008
- 1046
-
A RSSI-based environmental-adaptive dynamic radiation power management for Wireless Sensor NetworksJoe-Air Jiang, / Cheng-Long Chuang, / Chia-Pang Chen, / Tzu-Shiang Lin, et al. | 2008
- 1050
-
Information accuracy versus jointly sensing nodes in wireless sensor networksKang Cai, / Gang Wei, / Huifang Li, et al. | 2008
- 1054
-
Study of mobile farmland information monitoring terminal based wireless data transmission technologyLi, Lin / Shen, Ming-xia / Guo, Cui-xia et al. | 2008
- 1058
-
A 0.9–2.2GHz wide-band low-noise amplifier designRan Shu, / Jianhui Wu, / Shengli Lu, et al. | 2008
- 1062
-
Current controlled fully balanced current conveyorChunhua Wang, / Qiujing Zhang, et al. | 2008
- 1066
-
A switch IC implemented by four depletion-mode field effect transistors for dual-band GSM switch diplexer moduleShen-Whan Chen, / Iung-Chih Lin, / Shuming Wang, / Shih-Chiang Lin, / Zhao-Wei Han, et al. | 2008
- 1070
-
CDTA-based current limiters and applicationsPukkalanun, Tattaya / Tangsrirat, Worapong et al. | 2008
- 1074
-
Low-voltage digitally controlled current differencing buffered amplifierPrasertsom, Danucha / Tangsrirat, Worapong / Surakampontorn, Wanlop et al. | 2008
- 1078
-
Low-jitter PLL by interpolate compensationYutaka Nakanishi, / Fuminori Kobayashi, / Hitoshi Kondoh, et al. | 2008
- 1082
-
Frequency-invariant pattern synthesis for conformal array with space-time-polarization weightingBu-hong Wang, / Xiao-min Cao, et al. | 2008
- 1086
-
The design and algorithm mapping of a heterogeneous multi-core processor for SDRXing Fang, / Shuming Chen, et al. | 2008
- 1090
-
Unambiguous tracking techniques for Galileo BOC(1,1) signalsFan Xiangning, / Huang Shuancheng, et al. | 2008
- 1094
-
Efficient modulation on the performance of coherent receivers for pseudo-chaotic TH-UWB systemYunrui Gong, / Di He, / Chen He, / Lingge Jiang, et al. | 2008
- 1098
-
Efficient radius and list updating units design for list sphere decodersQingwei Li, / Zhongfeng Wang, / Xingcheng Liu, et al. | 2008
- 1103
-
Electronic Shelf Label System based on public illuminating networkHong Hong, / Yi Ren, / Ricai Tian, / Liyi Xiao, et al. | 2008
- 1107
-
Robust solution for synchronous communication among multi clock domainsSemiao, J. / Varela, J. / Freijedo, J. / Andina, J. / Leong, C. / Teixeira, J.P. / Teixeira, I. et al. | 2008
- 1111
-
Efficient architecture for the Tate pairing in characteristic threeLi, Qingwei / Wang, Zhongfeng / Zhang, Xinmiao / Liu, Xingcheng et al. | 2008
- 1116
-
Study on a detection algorithm for MIMO systemsDing Yuehua, / Yin Junxun, et al. | 2008
- 1120
-
Traffic analysis of a mobile cellular system based on a scale-free user network and a power-law-distributed mobility modelTam, Wai M. / Lau, F. C. M. / Tse, C. K. et al. | 2008
- 1124
-
Cellular design for a dense RFID reader environmentPourbagheri, Saeed / Bakhtiar, Mehrdad Sharif / Atarodi, Mojtaba et al. | 2008
- 1128
-
A reduced area 1 GSPS FFT design using MRMDF architecture for UWB communicationChakraborty, Tuhin Subhra / Chakrabarti, Saswat et al. | 2008
- 1132
-
On output reorder buffer design of bit reversed pipelined continuous data FFT architectureChakraborty, Tuhin Subhra / Chakrabarti, Saswat et al. | 2008
- 1136
-
Fast locking and high accurate current matching phase-locked loopSilin Liu, / Yin Shi, et al. | 2008
- 1140
-
A wide band and low PN PLL design for digital tunerHuang Shizhen, / Lin Wei, / Gao, Fenglin et al. | 2008
- 1144
-
An electronically controllable dual-mode universal biquad filter using only single CCCCTAWinai Jaikla, / Pisede Sornklin, / Siripruchyanun, Montree et al. | 2008
- 1148
-
High-order delta-sigma modulator with switched-current feedback memory cellGuo-Ming Sung, / Chih-Ping Yu, / Yueh-Hung Hou, et al. | 2008
- 1152
-
A novel two-channel time-interleaved forth-order sigma-delta modulator topologyXiao Yang, / Guican Chen, / Jun Cheng, / Xiaoyun Xu, et al. | 2008
- 1156
-
A tunable SC bandpass delta-sigma modulator for multi-standard applicationsHuang, Shu-Chuan / Chia-Te Fu, et al. | 2008
- 1160
-
A 1-V 90dB DR audio stereo DAC with embedding headphone driverKim-Fai Wong, / Ka-Ian Lei, / Seng-Pan U, / Martins, R.P. et al. | 2008
- 1164
-
A self-timing switch-driving register by precharge-evaluate logic for high-speed SAR ADCsU-Fat Chio, / He-Gong Wei, / Yan Zhu, / Sai-Weng Sin, / Seng-Pan U, / Martins, R.P. et al. | 2008
- 1168
-
Current mode track and hold circuit with 50MS/sec speed and 8-bit resolutionOi-Ying Wong, / Wing-Shan Tam, / Chi-Wah Kok, / Hei Wong, et al. | 2008
- 1172
-
A comparison of second-order sigma-delta modulator between switched-capacitor and switched-current techniquesGuo-Ming Sung, / Chih-Ping Yu, / Dong-An Yao, et al. | 2008
- 1176
-
A low-voltage high-gain wide-bandwidth class-AB differential difference amplifierFuding Ge, / Thomas, Brent et al. | 2008
- 1180
-
Wide-common-mode-range and high-CMRR CMOS OTA operable in both weak and strong inversion regionsKoichi Tanno, / Hisashi Tanaka, / Ryota Miwa, / Hiroki Tamura, et al. | 2008
- 1184
-
Designing of a neuron MOS current mirror with a transimpedance amplifierShimizu, Akio / Fukai, Sumio / Ishikawa, Yohei et al. | 2008
- 1188
-
A CMOS low-voltage fully differential sample-and-hold circuitChi-Chang Lu, / Wei-Xiang Tung, / Chien-Kuo Huang, et al. | 2008
- 1192
-
A process- and temperature- insensitive current-controlled delay generator for sampled-data systemsHe-Gong Wei, / U-Fat Chio, / Yan Zhu, / Sai-Weng Sin, / Seng-Pan U, / Martins, R.P. et al. | 2008
- 1196
-
An improved universal CMOS current-mode analog function synthesizer: Performance analysisAbuelma'atti, Muhammad Taher / Al-Yahia, Nawal Mansour et al. | 2008
- 1200
-
A precise bandgap reference with intrinsic compensation for current-mirror mismatchSizhen Li, / Xuecheng Zou, / Xiaofei Chen, / Zhige Zou, / Kai Yu, / Hao Zhang, et al. | 2008
- 1204
-
A Sub-1ppm deg C high-order curvature-compensated bandgap referenceLi, Yan / Wu, Jin / Huang, Zhiqi / Gao, Zongli et al. | 2008
- 1204
-
A Sub-1ppm/°C high-order curvature-compensated bandgap referenceYan Li, / Jin Wu, / Zhiqi Huang, / Zongli Gao, et al. | 2008
- 1208
-
Feasible implementation of a prediction algorithm for the game of rouletteSmall, Michael / Chi Kong Tse, et al. | 2008
- 1212
-
State-observer-based approach for identification and monitoring of complex dynamical networksHao Liu, / Jiang, Guo-Ping / Chun-Xia Fan, et al. | 2008
- 1216
-
On the approximation errors in the frequency test included in the NIST SP800-22 statistical test suitePareschi, Fabio / Rovatti, Riccardo / Setti, Gianluca et al. | 2008
- 1220
-
Powder RFID chip technologyMitsuo Usami, et al. | 2008
- 1224
-
A 0.8V SOP-based cascade multibit delta-sigma modulator for wideband applicationsChien-Hung Kuo, / Kuan-Yi Lee, / Shuo-Chau Chen, et al. | 2008
- 1228
-
On the design of band-pass quadrature ΣΔ modulatorsNithin Kumar, Y.B. / Talay, Selcuk / Maloberti, Franco et al. | 2008
- 1232
-
ΔΣAD modulator for low power applicationKonagaya, Hajime / Haijun Lin, / Hao San, / Haruo Kobayashi, / Ando, Kazumasa / Yoshida, Hiroshi / Murayama, Chieto / Nisida, Yukihiro et al. | 2008
- 1232
-
Delta Sigma AD modulator for low power applicationKonagaya, H. / Lin, Haijun / San, Hao / Kobayashi, Haruo / Ando, K. / Yoshida, H. / Murayama, C. / Nisida, Y. et al. | 2008
- 1236
-
An ultra low-power delta-sigma modulator using charge-transfer amplifier techniqueChien-Hung Kuo, / Kuan-Yi Lee, / Ming-Feng Wu, et al. | 2008
- 1240
-
A novel coefficient automatic calculation method for sinc filter in sigma-delta ADCsFeng Yi, / Xiaobo Wu, et al. | 2008
- 1244
-
Using asynchronous circuits for communications in wireless endoscopic capsuleXiaoyu Zhang, / Hanjun Jiang, / Zhihua Wang, et al. | 2008
- 1248
-
Optical front-end receiver configuration for 30 GHz millimeter-wave signal Radio over Fiber systemHarun, Hilman / Idrus, Sevia Mahdaliza / Mohammad, Abu Bakar / Mohamed, Norliza et al. | 2008
- 1252
-
A 1.2V 5.14mW quadrature frequency synthesizer in 90nm CMOS technology for 2.4GHz ZigBee applicationsGines, J. / Doldan, R. / Villegas, A. / Acosta, A. J. / Jalon, M. A. / Vazquez, D. / Rueda, A. / Peralias, E. et al. | 2008
- 1256
-
Wideband double-UT RFID tag antenna designJuanjuan Tan, / Xiuping Li, et al. | 2008
- 1260
-
A scalable distributed memory architecture for Network on ChipZhang Yuang, / Li Li, / Yang Shengguang, / Dong Lan, / Lou Xiaoxiang, / Gao Minglun, et al. | 2008
- 1264
-
Design and performance evaluation of a 2D-mesh Network on Chip prototype using FPGAGeng Luo-Feng, / Du Gao-ming, / Zhang Duo-Li, / Gao Ming-Lun, / Hou Ning, / Song Yu-Kun, et al. | 2008
- 1268
-
RaceCheck: A race logic audit program for ESL-based soc designsChan, Terence et al. | 2008
- 1272
-
The design and transport latency analysis of a locality-aware network on chip architectureChung-Ping Young, / Chung-Chu Chia, / Yen-Bor Lin, et al. | 2008
- 1276
-
On-Chip-Network cryptosystem: A high throughput and high security architectureChung-Ping Young, / Chung-Chu Chia, / Liang-Bi Chen, / Ing-Jer Huang, et al. | 2008
- 1280
-
Analysis-by-synthesis method for whisper-speech reconstructionAhmadi, Farzaneh / McLoughlin, Ian Vince / Sharifzadeh, Hamid Reza et al. | 2008
- 1284
-
High pitch source isolation using complex cepstrum in the autocorrelation domainDerebssa, Bisrat / Shimamura, Tetsuya et al. | 2008
- 1288
-
Non-blind audio-watermarking using compression-expansion of signalsFoo, Say Wei et al. | 2008
- 1292
-
A frequency-based fast block type decision algorithm for intra prediction in H.264/AVC high profileTianruo Zhang, / Guifen Tian, / Satoshi Goto, et al. | 2008
- 1296
-
A low complexity modulo 2n+1 squarer designMuralidharan, Ramya / Chang, Chip-Hong / Jong, Ching-Chuen et al. | 2008
- 1300
-
A novel basic unit level rate control algorithm and architecture for H.264/AVC video encodersBing-Tsung Wu, / Tzu-Chun Chang, / Jiun-In Guo, / Ching-Lung Su, et al. | 2008
- 1304
-
Hardware development for pervasive healthcare systems: Current status and future directionsAcharyya, Amit / Maharatna, Koushik / Al-Hashimi, Bashir M. et al. | 2008
- 1308
-
An efficient architecture for HWT using sparse matrix factorisation and DA principlesSazish, A. N. / Amira, A. et al. | 2008
- 1312
-
A new battery management system for li-ion battery packsChen, Chen / Jin, Jin / He, Lenian et al. | 2008
- 1316
-
Design of off-chip capacitor-free CMOS low-dropout voltage regulatorXin Liu, / Shuai Wang, / Shuxu Guo, / Yuchun Chang, et al. | 2008
- 1320
-
A CMOS low-dropout regulator with 3.3 μA quiescent current independent of off-chip capacitorWang, Yi / Cui, Chuanrong / Gong, Wenchao / Ning, Zhihua / He, Lenian et al. | 2008
- 1324
-
Two-stage current-mode multiphase voltage doubler based on PWM controlYuen-Haw Chang, et al. | 2008
- 1328
-
Design strategy for 2-phase switched capacitor charge pumpOi-Ying Wong, / Wing-Shan Tam, / Chi-Wah Kok, / Hei Wong, et al. | 2008
- 1332
-
Cost effective hardware sharing architecture for fast 1-D 8×8 forward and inverse integer transforms of H.264/AVC high profileGuo-An Su, / Chih-Peng Fan, et al. | 2008
- 1336
-
Architecture design of low-power and low-cost CAVLC decoder for H.264/AVCHan-Jung Huang, / Chih-Peng Fan, et al. | 2008
- 1340
-
Design of a memory-based VLC decoder for portable video applicationsWei-Chin Lee, / Yao Li, / Chen-Yi Lee, et al. | 2008
- 1344
-
Transformed-based mode decision algorithm for H.264/AVC intrapredictionHeng-Yao Lin, / Kuan-Hsien Wu, / Bin-Da Liu, / Jar-Ferr Yang, et al. | 2008
- 1348
-
A block type decision algorithm for H.264/AVC intra prediction based on entropy featureGuifen Tian, / Tianruo Zhang, / Xianghui Wei, / Satoshi Goto, et al. | 2008
- 1352
-
An integrated low power one-pin crystal oscillatorTan, Jason Yi Jun / Ng, Kian Ann / Xu, Yong Ping et al. | 2008
- 1356
-
A 2.5Gb/s oversampling clock and data recovery circuit with frequency calibration techniqueWu, Kai Pong / Yang, Ching-Yuan / Lin, Jung-Mao et al. | 2008
- 1360
-
A DC-offset-compensated, CT/DT hybrid filter with process-insensitive cutoff and low in-band group-delay variation for WLAN receiversKa-Fai Un, / Pui-In Mak, / Martins, R. P. et al. | 2008
- 1364
-
A 90nm CMOS wide-band voltage-controlled ring oscillator for digital TV-tunerKai Yu, / Xuecheng Zou, / Jianming Lei, / Guoyi Yu, / Sizhen Li, / Yunwu Chen, et al. | 2008
- 1367
-
A novel versatile circuit functioning as both filter and oscillator based on CCCCTAsSilapan, Phamorn / Jaikla, Winai / Siripruchyanun, Montree et al. | 2008
- 1371
-
Design of passive UHF RFID tag in 130nm CMOS technologyYang Hong, / Chi Fat Chan, / Jianping Guo, / Yuen Sum Ng, / Weiwei Shi, / Lai Kan Leung, / Ka Nang Leung, / Chiu Sing Choy, / Kong Pang Pun, et al. | 2008
- 1375
-
Printed circuit antenna for UWB systemsNakasuwan, Jintana / Rakluea, Paitoon / Pirajnanchai, Virote et al. | 2008
- 1379
-
Ku band voltage controlled oscillator on SiGeTorres, Jorge Alves / Freire, J. Costa et al. | 2008
- 1383
-
A divide-by-3 frequency divider for I/Q generation in a multi-band frequency synthesizerSaeedi, Saeed / Atarodi, Mojtaba / Bakhtiar, Mehrdad Sharif et al. | 2008
- 1387
-
A 0.8V folded-cascode low noise amplifier for multi-band applicationsRuey-Lue, / Shih-Chih Chen, / Cheng-Lin Huang, / Chang-Xing Gao, / Yi-Shu Lin, et al. | 2008
- 1390
-
A top-down, mixed-level design methodology for CT BP ΔΣ modulator using verilog-AHung-Yuan Chu, / Chun-Hung Yang, / Chi-Wai Leng, / Chien-Hung Tsai, et al. | 2008
- 1394
-
A Wideband three-stage rail-to-rail power amplifier driving large capacitive loadWing-Shan Tam, / Oi-Ying Wong, / Chi-Wah Kok, / Hei Wong, / Wang, Albert Z. H. et al. | 2008
- 1398
-
A novel Schmitt trigger with low temperature coefficientZou, Zhige / Zou, Xuecheng / Liao, Dingbin / Guo, Fan / Lei, Jianming / Chen, Xiaofei et al. | 2008
- 1398
-
A novel schmitt trigger with low temperature coeficientZhige Zou, / Xuecheng Zou, / Dingbin Liao, / Fan Guo, / Jianming Lei, / Xiaofei Chen, et al. | 2008
- 1402
-
A full current-mode sense amplifier for low-power SRAM applicationsDo, Anh-Tuan / Jeremy Low Yung Shern, / Zhi-Hui Kong, / Yeo, Kiat-Seng / Joshua Low Yung Lih, et al. | 2008
- 1406
-
A programmable duty cycle corrector based on delta-sigma modulated PWM mechanismGung-Yu Lin, / Ching-Yuan Yang, / Yu Lee, / Jun-Hong Weng, et al. | 2008
- 1410
-
Sufficient conditions for non-negative impulse response of arbitrary-order systemsLiu, Y. / Bauer, Peter H. et al. | 2008
- 1414
-
Generalized matrix method for efficient residue to decimal conversionGbolagade, Kazeem Alagbe / Cotofana, Sorin Dan et al. | 2008
- 1418
-
A low-cost continuous flow parallel memory-based FFT processor for Ultra-Wideband (UWB) applicationsWey, Chin-Long / Lin, Shin-Yo / Wang, Hsu-Sheng / Huang, Chun-Ming et al. | 2008
- 1422
-
Efficient designs of flaoting-point CORDIC rotation and vectoring operationsShen-Fu Hsiao, / Hsin-Mau Lee, / Yen-Chun Cheng, / Ming-Yu Tsai, et al. | 2008
- 1426
-
Efficient structures for time delay estimation in time-varying environmentsTomi Hila, / Hon Keung Kwan, et al. | 2008
- 1430
-
Efficient fast filter bank with a reduced delayLee, J.W. / Lim, Y.C. et al. | 2008
- 1434
-
Precoded MIMO-SC-FDE and blind channel estimationKa Chun Kwok, / Zhiping Lin, / Yonghong Zeng, et al. | 2008
- 1438
-
Subband adaptive filtering using approximately alias-free cosine modulated filterbanksYin-Shao Chang, / See-May Phoongy, / Yuan-Pei Lin, et al. | 2008
- 1442
-
Delta operator based design of 1-D and 2-D filters: An overviewI-Hung Khoo, / Reddy, Hari C. et al. | 2008
- 1446
-
Intergrated control system of multi-channel audio signals for serial transmissionSunyong Lee, / Teawan Kim, / Jisung Byun, / Yunmo Chung, / Moonvin Song, et al. | 2008
- 1450
-
Design of high-performance transform and quantization circuit for unified video CODECLee, Seonyoung / Cho, Kyeongsoon et al. | 2008
- 1454
-
Adaptive rate control for real-time video streaming over the mobile WiMAXChanghyun Lee, / Kwanwoong Song, / Younghun Joo, / Yongserk Kim, et al. | 2008
- 1458
-
A compact DSP architecture for digital audioRyu, Changwon / Park, Hyungbae / Park, Jusung / Kangjoo Kim, et al. | 2008
- 1462
-
Auto white balance system using adaptive color samples for mobile devicesWonwoo Jang, / Kyoungsoo Son, / Joohyun Kim, / Kang, Bongsoon et al. | 2008
- 1466
-
Multi-direction search algorithm for block-based motion estimationLai-Man Po, / Ka-Ho Ng, / Ka-Man Wong, / Kwok-Wai Cheung, et al. | 2008
- 1470
-
A low bandwidth integer motion estimation module for MPEG-2 to H.264 transcodingWei, Xianghui / Wenming Tang, / Guifen Tian, / Goto, Satoshi et al. | 2008
- 1474
-
Multi-direction search algorithm for block motion estimation in H.264 encoderChiung Chun Lin, / Yinyi Lin, / Hui Jane Hsieh, et al. | 2008
- 1478
-
Two-step windowing technique for wide range motion estimationMeng-Chun Lin, / Lan-Rong Dung, et al. | 2008
- 1482
-
Stereoscopic video generation from monoscopic compressed moving videosHung-Ming Wang, / Yan-Hong Chen, / Jar-Ferr Yang, et al. | 2008
- 1486
-
A high-performance current-mode precision full-wave rectifier based on BiCMOS-CCCDBAsSilapan, Phamorn / Jaikla, Winai / Siripruchyanun, Montree et al. | 2008
- 1490
-
Current controlled CCTA based- novel grounded capacitance multiplier with temperature compensationSilapan, Phamorn / Tanaphatsiri, Chaiya / Siripruchyanun, Montree et al. | 2008
- 1494
-
A current-mode wheatstone bridge employing only single DO-CDTATanaphatsiri, Chaiya / Jaikla, Winai / Siripruchyanun, Montree et al. | 2008
- 1498
-
Low voltage, high performance first and third generation current conveyor in 0.18μm CMOSChun-Chieh Chen, / Kai-Yao Lin, / Nan-Ku Lu, et al. | 2008
- 1502
-
Novel realization of voltage transfer function with fractional characteristics using CFAsVerma, Ankur / James, Jobin et al. | 2008
- 1506
-
Scalable and parallel codec architectures for the DVB-S2 FEC systemGomes, M. / Falcao, G. / Silva, V. / Ferreira, V. / Sengo, A. / Silva, L. / Marques, N. / Falcao, M. et al. | 2008
- 1510
-
An improved Montgomery inversion algorithm over GF(2m) targeted for low area scalable inverter on FPGAHassan, Mohamed N. / Benaissa, Mohammed et al. | 2008
- 1514
-
GF(2m) multiplier using Polynomial Residue Number SystemChu, Junfeng / Benaissa, Mohammed et al. | 2008
- 1518
-
A new decoder for binary quadratic residue code with irreducible generator polynomialJing, Ming-Haw / Chang, Yaotsu / Chen, Jian-Hong / Chen, Zih-Heng / Chang, Jia-Hao et al. | 2008
- 1522
-
An 8B/10B encoder with a modified coding tableYong-woo Kim, / Jin-ku Kang, et al. | 2008
- 1526
-
A new BPSK demodulation circuit for command transmission in wireless implantable neural recording systemWu, Z. H. / Liang, Z. M. / Lia, B. et al. | 2008
- 1529
-
Voltage averaging technique for improving the resolution of two-capacitor DACXiaochen Lu, / Xueren Zheng, / Hanhua Liu, et al. | 2008
- 1533
-
Dynamic TDM virtual circuit implementation for NoCYu Wang, / Kai Zhou, / Zhonghai Lu, / Huazhong Yang, et al. | 2008
- 1537
-
The irradiation effect of DC-DC power converter under X-rayEn, Yunfei / He, Yujuan / Luo, Hongwei / Shi, Qian / Kuang, Xianjun / Pan, Zhijian et al. | 2008
- 1542
-
A minimum-path mapping algorithm for 2D mesh Network on Chip architectureGe Fen, / Wu Ning, et al. | 2008
- 1546
-
New approaches to interconnect macromodeling with explicit delay extractionZhigang Hao, / Guoyong Shi, et al. | 2008
- 1550
-
A semi-monotonic routing method for fanin type Ball Grid Array packagesTomioka, Yoichi / Takahashi, Atsushi et al. | 2008
- 1554
-
Arbitrary convex and concave rectilinear block packing based on O-Tree representationFujiyioshi, Kunihiro / Ukibe, Hidehiko et al. | 2008
- 1558
-
Chip to chip communications for terabit transmission ratesMoore, B. / Sellathamby, C. / Slupsky, S. / Iniewski, K. et al. | 2008
- 1562
-
High-speed mixed-signal SoC design for basestation applicationWenquan Sui, / Tongyi Li, et al. | 2008
- 1566
-
High-speed serial interconnect transceiver: Applications and designHui Wang, / Yuhua Cheng, et al. | 2008
- 1572
-
Accurate Bit-Error-Rate estimation for efficient high speed I/O testingHong, Dongwoo / Cheng, Kwang-Ting et al. | 2008
- 1576
-
Multi-access memory architecture for image applications with multiple interested regionsJinbo Xu, / Yong Dou, / Jie Zhou, et al. | 2008
- 1580
-
Parallel implementation of morphological processing by arbitrary kernels on Cell/BE with OpenCV interfaceSugano, Hiroki / Miyamoto, Ryusuke et al. | 2008
- 1584
-
A location map-free visible and reversible watermarking method with authentication abilityHan, Seungwu / Fujiyoshi, Masaaki / Kiya, Hitoshi et al. | 2008
- 1588
-
Reversibly sharing and hiding secret using expansion strategyChang-Chu Chen, / Chin-Chen Chang, et al. | 2008
- 1592
-
An architecture of photo core transform in HD photo coding system for embedded systems of various bandwidthsHattori, Koichi / Tsutsui, Hiroshi / Ochi, Hiroyuki / Nakamura, Yukihiro et al. | 2008
- 1596
-
On the use of multi-tone for the measurement of noise power ratio distortion in RF circuitsCheng Huang, / Meng Zhang, / Jianhui Wu, / Shengli Lu, / Longxing Shi, et al. | 2008
- 1600
-
Harmonic and IMD frequency components affecting nonlinear distortion with feed back in MOSFET amplifiersAbuelmaatti, Ali / Thayne, Iain / Abuelma'atti, Muhammad Taher et al. | 2008
- 1604
-
An adaptive scheme for estimating and correcting RF amplifiers’ non-linearitiesMota, Pedro F. / da Silva, J. Machado / Long, J. et al. | 2008
- 1608
-
Linearization of table-based MOSFET Model parameters for nonlinear quantificationAbuelmaatti, Ali / Thayne, Iain / Abuelma'atti, Muhammad Taher et al. | 2008
- 1612
-
Behavioral modeling for operational amplifier in sigma-delta modulators with Verilog-AWang, Yi / Wang, Yikai / He, Lenian et al. | 2008
- 1616
-
Design of analog mixer for RF frontendGo Ai Mei, / Jamuar, S.S. et al. | 2008
- 1620
-
Signal estimation method for folding ADCs in wideband multistandard SWR receiversChunming Zhang, / Zhibiao Shao, et al. | 2008
- 1624
-
Impact of circuit nonidealities on the implementation of switched-capacitor resonatorsMomeni, Massoud / Guntoro, Andre / Keil, Hans-Peter / Glesner, Manfred et al. | 2008
- 1628
-
Electrical-level synthesis of pipeline ADCsRuiz-Amaya, Jesus / Delgado-Restituto, Manuel / Rodriguez-Vazquez, Angel et al. | 2008
- 1632
-
A ROM-less DDFS using a nonlinear DAC with an error compensation current arrayChua-Chin Wang, / Chia-Hao Hsu, / Tuo-Yu Yao, / Jian-Ming Huang, et al. | 2008
- 1636
-
Low-complexity shift-LDPC decoder for high-speed communication systemsChuan Zhang, / Li Li, / Jun Lin, / Zhongfeng Wang, et al. | 2008
- 1640
-
Efficient decoder design for high-throughput LDPC decodingZhiqiang Cui, / Zhongfeng Wang, / Xinmiao Zhang, / Qingwei Jia, et al. | 2008
- 1644
-
An O(qlogq) log-domain decoder for non-binary LDPC over GF(q)Chun-Hao Liao, / Chien-Yi Wang, / Chun-Hao Liu, / Tzi-Dar Chiueh, et al. | 2008
- 1648
-
Efficient encoding for dual-diagonal structured LDPC codes based on parity bit prediction and correctionChia-Yu Lin, / Chih-Chun Wei, / Mong-Kai Ku, et al. | 2008
- 1652
-
An IP generator for quasi-cyclic LDPC convolutional code decodersChun-Hao Liao, / Jun-Wei Lin, / Yen-Shuo Chang, / Ching-Hao Yu, / Chun-Hao Liu, / Tzi-Dar Chiueh, et al. | 2008
- 1656
-
Low power 32-bit UniRISC with Power Block ManagerYi-Mao Hsiao, / Te-Jung Lo, / Yuan-Sun Chu, / Shi-Wu Lo, et al. | 2008
- 1660
-
Design of simple and high speed VLSI core for the protection of mass storagesJing, Ming-Haw / Chen, Zih-Heng / Chen, Jian-Hong / Wu, Cheng-Yi et al. | 2008
- 1664
-
Design and implementation of co-design toolset for tcore processorJizeng Wei, / Wei Guo, / Jizhou Sun, / Zaifeng Shi, et al. | 2008
- 1668
-
Processor frequency assignment in three-dimensional MPSoCs under thermal constraints by polynomial programmingGuangyao Zhao, / Hing-Kit Kwan, / Chi-Un Lei, / Ngai Wong, et al. | 2008
- 1672
-
VLSI implementation and optimization design of Reed-Solomon decoder in QAM demodulation chipMeng Zhang, / Xing Gao, / Zhisheng Dai, / Tingting Tao, / Zhongju Yin, / Shengli Lu, et al. | 2008
- 1676
-
Wire density driven top-down global placement for CMP variation controlChangdao Dong, / Qiang Zhou, / Yici Cai, / Xianlong Hong, et al. | 2008
- 1680
-
Minimization of delay insertion in clock period improvement in general-synchronous frameworkKohira, Yukihide / Tani, Shuhei / Takahashi, Atsushi et al. | 2008
- 1684
-
Timing-driven multi-layer Steiner tree construction with obstacle avoidanceJin-Tai Yan, / Zhi-Wei Chen, et al. | 2008
- 1688
-
Timing-constrained yield-driven redundant via insertionJin-Tai Yan, / Zhi-Wei Chen, / Bo-Yi Chiang, / Yu-Min Lee, et al. | 2008
- 1692
-
Electromigration-aware rectilinear Steiner tree construction for analog circuitsJin-Tai Yan, / Zhi-Wei Chen, et al. | 2008
- 1696
-
Current-mode gigabit optical receivers in submicron CMOS technologiesBooyoung Choi, / Jungwon Han, / Jisook Yun, / Mikyung Seo, / Sung Min Park, et al. | 2008
- 1700
-
A 4GHz direct digital frequency synthesizer utilizing a nonlinear sine-weighted DAC in 90nm CMOSHong Chang Yeoh, / Kwang-Hyun Baek, et al. | 2008
- 1704
-
Current-sensing technique for current-mode DC-DC buck converter with offset-voltage compensationJungeui Park, / Jungsoo Choi, / Wooju Jeong, / Sanduk Yu, / Kichang Jang, / Youngchan Choi, / Joongho Choi, et al. | 2008
- 1708
-
A implementation of fully integrated frequency synthesizer For ISM band transceiverYounwoong Chung, / Jinwook Burm, et al. | 2008
- 1712
-
A design of sub-band generator for MB-OFDM UWB application in 0.13 μm RF CMOS processJoon Sung Park, / YoungGun Pu, / Young-Shin Kim, / Chul Nam, / Kang-Yoon Lee, et al. | 2008
- 1716
-
Characterization of structures maskless-etched by low-energy FIBChantngarm, Peerasak et al. | 2008
- 1720
-
Asymmetric dual-gate multi-fin keeper bias options and optimization for low power and robust FinFET domino logicTawfik, Sherif A. / Kursun, Volkan et al. | 2008
- 1724
-
An ultrahigh-speed full adder using resonant-tunneling logic gatesTakao Waho, / Hiroki Okuyama, / Tomohiko Ebata, / Ryousuke Kato, et al. | 2008
- 1728
-
A novel optical mesh network-on-chip for gigascale systems-on-chipHuaxi Gu, / Jiang Xu, / Zheng Wang, et al. | 2008
- 1732
-
A tight-binding model of the benzene-molecular systemLi Na, / Cai Min, et al. | 2008
- 1735
-
A content-aware packets priority ordering and marking scheme for H.264 video over diffserv networkGang Sun, / Wei Xing, / Dongming Lu, et al. | 2008