Frequency scaling of power reclamation networks in outphasing PA architectures (Englisch)
- Neue Suche nach: Tian, David
- Neue Suche nach: Carley, L. Richard
- Neue Suche nach: Ricketts, David S.
- Neue Suche nach: Tian, David
- Neue Suche nach: Carley, L. Richard
- Neue Suche nach: Ricketts, David S.
In:
2012 IEEE International Symposium on Circuits and Systems
;
1058-1061
;
2012
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Frequency scaling of power reclamation networks in outphasing PA architectures
-
Beteiligte:
-
Erschienen in:
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.05.2012
-
Format / Umfang:1390603 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Towards the development of next-generation remote healthcare system: Some practical considerationsMaharatna, Koushik / Mazomenos, Evangelos B. / Morgan, John / Bonfiglio, Silvio et al. | 2012
- 1
-
[Front matter]| 2012
- 1
-
Author index| 2012
- 5
-
Energy expenditure estimation with wearable accelerometersLustrek, Mitja / Cvetkovic, Bozidara / Kozina, Simon et al. | 2012
- 9
-
A 150nW CMOS novel temperature sensor for remote patient monitoring based on an auto-resonant active inductor architectureFernandez, E. / Solar, H. / de No, J. / Gutierrez, I. / Berenguer, R. et al. | 2012
- 13
-
Combined NIRS-EEG remote recordings for epilepsy and stroke real-time monitoringSawan, Mohamad / Salam, Muhammad T. / Gelinas, Sebastien / Le Lan, Jerome / Lesage, Frederic / Nguyen, Dang K. et al. | 2012
- 17
-
A memory-efficient continuous-flow FFT processor for Wimax applicationHuang, Shen-Jui / Chen, Sau-Gee et al. | 2012
- 21
-
High-speed tournament givens rotation-based QR Decomposition Architecture for MIMO ReceiverLee, Min-Woo / Yoon, Ji-Hwan / Park, Jongsun et al. | 2012
- 29
-
High performance compressive sensing reconstruction hardware with QRD processStanislaus, Jerome L.V.M. / Mohsenin, Tinoosh et al. | 2012
- 33
-
Dynamic partial reconfigurable FFT/IFFT pruning for OFDM based Cognitive radioVennila, C. / CT, Kumar Palaniappan / Krishna, Kodati Vamsi / Lakshminarayanan, G. / Ko, Seok-Bum et al. | 2012
- 37
-
A novel digital IIR filter design strategy - Structure-based discrete coefficient filtersLi, Gang / Lim, Yong Ching / Huang, Chaogeng / Xu, Hong et al. | 2012
- 41
-
Efficient design of sparse FIR filters in WLS senseJiang, Aimin / Kwan, Hon Keung et al. | 2012
- 45
-
Design of high order and wide coefficient wordlength multiplierless FIR filters with low hardware cost using genetic algorithmYe, Wen Bin / Yu, Ya Jun et al. | 2012
- 49
-
Pipelined adder graph optimization for high speed multiple constant multiplicationKumm, Martin / Zipf, Peter / Faust, Mathias / Chang, Chip-Hong et al. | 2012
- 53
-
Broadband beamfoming using Nested Planar Arrays and 3D FIR frustum filtersMoazzen, Iman / Agathoklis, Panajotis et al. | 2012
- 57
-
STF behaviour in optimised for ELD cascaded CT Delta-Sigma ModulatorsAngus, Andrew C. R. / Reekie, H. Martin et al. | 2012
- 61
-
14-bit DR, 20 kHz BW, 2-2 MASH SI-Σ㪔 modulator using low-distortion feedforward topologyBlumer, Rafael T. / Prior, Cesar A. / Martins, Joao B. et al. | 2012
- 65
-
A 10MHz BW 78dB DR CT ΣΔ modulator with novel switched high linearity VCO-based quantizerHe, Tao / Jiang, Yang / Du, Yun / Sin, Sai-Weng / U, Seng-Pan / Martins, Rui. P. et al. | 2012
- 69
-
A wide output range, mismatch tolerant Sigma Delta DAC for digital PLL in 90nm CMOSKamath, Anant S / Chattopadhyay, Biman et al. | 2012
- 73
-
An error estimation technique for lowpass and bandpass ΣΔ ADC feedback DACs using a residual test signalWitte, Pascal / Kauffman, John G. / Bruckner, Timon / Becker, Joachim / Ortmanns, Maurits et al. | 2012
- 77
-
Hardware Implementation of High Throughput RC4 algorithmTran, Thi Hong / Lanante, Leonardo / Nagao, Yuhei / Kurosaki, Masayuki / Ochi, Hiroshi et al. | 2012
- 81
-
Power-security trade-off in multi-level power analysis countermeasures for FSR-based stream ciphersMansouri, Shohreh Sharif / Dubrova, Elena et al. | 2012
- 85
-
Statistical screening for IC Trojan detectionGwon, oungjune / Kung, . T. / Vlah, ario / Huang, eng-Yen / Tsai, i-Min et al. | 2012
- 89
-
Low-latency area-delay-efficient systolic multiplier over GF(2m) for a wider class of trinomials using parallel register sharingXie, Jiafeng / Meher, Pramod Kumar / He, Jianjun et al. | 2012
- 93
-
High performance prime field multiplication for GPULeboeuf, Karl / Muscedere, Roberto / Ahmadi, Majid et al. | 2012
- 97
-
Exploiting stable features for iris recognition of defocused imagesLiu, Bo / Lam, Siew-Kei / Srikanthan, Thambipillai / Yuan, Weiqi et al. | 2012
- 101
-
Cell segmentation and NC ratio analysis of third harmonic generation virtual biopsy images based on marker-controlled gradient watershed algorithmLin, Huan-Hsiang / Tsai, Ming-Rung / Chen, Chun-Fu / Chen, Szu-Yu / Liao, Yi-Hua / Lee, Gwo Giun / Sun, Chi-Kuang et al. | 2012
- 105
-
A second-generation imaging system for freely moving animalsPark, Joon Hyuk / Platisa, Jelena / Pieribone, Vincent / Culurciello, Eugenio et al. | 2012
- 109
-
A low-power subsample-based image compression algorithm for capsule endoscopyMostafa, Atahar / Wahid, Khan / Ko, Seok-Bum et al. | 2012
- 113
-
Design of orthogonal coded excitation for synthetic aperture imaging in ultrasound systemsYang, Ming / Chakrabarti, Chaitali et al. | 2012
- 121
-
Reducing configuration contexts for coarse-grained reconfigurable architectureYin, Shouyi / Yin, Chongyong / Liu, Leibo / Zhu, Min / Wang, Yansheng / Wei, Shaojun et al. | 2012
- 125
-
Post-silicon skew tuning algorithm utilizing setup and hold timing testsKaneko, Mineo / Li, Jian et al. | 2012
- 129
-
Modeling discrete event system with distributions using SystemVerilogMani Paret, Jomu George / Ait Mohamed, Otmane et al. | 2012
- 133
-
Complexity-reduced geometry partition search and high efficiency prediction for video codingWang, Qifei / Sun, Ming-Ting / Sullivan, Gary J. / Li, Jin et al. | 2012
- 137
-
A novel Slepian-Wolf decoding algorithm exploiting geometric regularity constraints with anisotropic MRF modelingZhang, Yongsheng / Xiong, Hongkai / Chen, Chang Wen et al. | 2012
- 141
-
Picture orientation information in video compressionHong, Danny / Boyce, Jill / Wenger, Stephan et al. | 2012
- 145
-
Content-aware layered compound video compressionWang, Shiqi / Fu, Jingjing / Lu, Yan / Li, Shipeng / Gao, Wen et al. | 2012
- 149
-
Efficient video compression methods for a lightweight tele-immersive video chat systemNguyen, Viet-Anh / Lu, Jiangbo / Do, Minh N. et al. | 2012
- 153
-
3D reconstruction from planar points: A candidate method for authentication of fingerprint images captured by mobile devicesChen, Yao / Han, Fengling / Liu, Haibin / Lu, Jinhu et al. | 2012
- 157
-
Chaotic symbolic dynamics modulation in MIMO systemsKaddoum, Georges / Vu, Mai / Gagnon, Francois et al. | 2012
- 161
-
Nonlinear dynamics and limit cycle bifurcation of a fractional-order three-node recurrent neural networkXiao, Min / Zheng, Wei Xing et al. | 2012
- 165
-
Cluster synchronization and controllability of complex multi-agent networksXia, Weiguo / Cao, Ming et al. | 2012
- 169
-
Exploring evolutionary dynamics in a class of structured populationsTan, Shaolin / Lu, Jinhu / Yu, Xinghuo / Hill, David et al. | 2012
- 173
-
A robot swarm as a cellular multicore processorAlbo-Canals, J. / Navarro, J. / Serra-Puig, D. / Vilasis-Cardona, X. et al. | 2012
- 177
-
Hybrid processor population for odor processingAyhan, Tuba / Yeniceri, Ramazan / Ergunay, Selman / Yalein, Mustak Erhan et al. | 2012
- 181
-
Novel algorithm for the real time multi-feature detection in laser beam weldingLeonardo, Nicolosi / Ronald, Tetzlaff / Felix, Abt / Andreas, Heider / Andreas, Blug / Heinrich, Hofler et al. | 2012
- 185
-
A GPU implementation of color digital halftoning using the Direct Binary Search algorithmChandu, Kartheek / Stanich, Mikel / Trager, Barry / Wu, Chai Wah et al. | 2012
- 189
-
Volume and power optimized high-performance system for UAV collision avoidanceNagy, Zoltan / Kiss, Andras / Zarandy, Akos / Zsedrovits, Tamas / Vanek, Balint / Peni, Tamas / Bokor, Jozsef / Roska, Tamas et al. | 2012
- 193
-
An ultra-low-power front-end neural interface with automatic gain for uncalibrated monitoringParaskevopoulou, Sivylla E. / Constandinou, Timothy G. et al. | 2012
- 197
-
A sub-0.5V, 1.5µW rail-to-rail constant gm opamp and its filter applicationLee, Edward K.F. et al. | 2012
- 201
-
Performance enhanced op-amp for 65nm CMOS technologies and belowPena Perez, Aldo / Maloberti, Franco et al. | 2012
- 205
-
Settling time and noise optimization of a three-stage operational transconductance amplifierSeth, Siddharth / Murmann, Boris et al. | 2012
- 209
-
A 1.57mW 99dBΩ CMOS transimpedance amplifier for VHF micromechanical reference oscillatorsLi, Ming-Huang / Li, Cheng-Syun / Hou, Li-Jen / Liu, Yu-Chia / Li, Sheng-Shian et al. | 2012
- 213
-
Line-frequency instability of three-phase PFC power supplies connecting to non-ideal power gridHuang, Meng / Tse, Chi K. / Wong, Siu-Chung et al. | 2012
- 217
-
Losses analysis and low standby losses quasi-resonant flyback converter designHuang, Guan-Chun / Liang, Tsorng-Juu / Kai-Hui Chen, et al. | 2012
- 221
-
Dynamic sawtooth compensation (DSC) technique with self-tuning mode selection (SMS) for current-mode Buck-Boost converterSu, Yi-Ping / Wang, Shih-Wei / Lee, Yu-Huei / Chen, Ke-Horng et al. | 2012
- 225
-
Compensation technique for optimized efficiency and voltage controllability of IPT systemsZhang, Wei / Wong, Siu-Chung / Tse, Chi K. / Chen, Qianhong et al. | 2012
- 229
-
Co-simulation of RFIC with bondwire antenna via retarded PEEC methodZhang, Y. / Fong, N. H. W. / Ng, D. C. W. / Wong, N. et al. | 2012
- 233
-
An IR-UWB transmitter with digital pulse duration controlCorreia, David / dal Alba, Marcelo / Martins, Miguel A. / Rabuske, Taimur G. / Rodrigues, Cesar / Fernandes, Jorge R. et al. | 2012
- 237
-
Transformer feedback based CMOS amplifiersBhagavatula, Venumadhav / Rudell, Jacques C. et al. | 2012
- 241
-
Systematic analysis of the impact of mixing locality on Mixing-DAC linearity for multicarrier GSMBechthum, Elbert / Radulov, Georgi / Briaire, J. / Geelen, Govert / van Roermund, Arthur et al. | 2012
- 245
-
A novel low gate-count serializer topology with Multiplexer-Flip-FlopsTsai, Wei-Yu / Chiu, Ching-Te / Wu, Jen-Ming / Hsu, Shawn S.H. / Hsu, Yar-Sun / Tsao, Ying-Fang et al. | 2012
- 249
-
NetVP: A system-level NETwork Virtual Platform for network accelerator developmentWang, Chen-Chieh / Lo, Sheng-Hsin / Liu, Yao-Ning / Chen, Chung-Ho et al. | 2012
- 253
-
CoRaS: A multiprocessor key corruption and random round swapping for power analysis side channel attacks: A DES case studyAmbrose, Jude Angelo / Ignjatovic, Aleksandar / Parameswaran, Sri et al. | 2012
- 257
-
Compiler and microarchitectural approaches for register file thermal managementHeo, Ingoo / Park, Sanghyun / Paek, Yunheung et al. | 2012
- 261
-
A power management architecture for fast per-core DVFS in heterogeneous MPSoCsHoppner, Sebastian / Shao, Chenming / Eisenreich, Holger / Ellguth, Georg / Ander, Mario / Schuffny, Rene et al. | 2012
- 265
-
Two-level configuration for FPGA: A new design methodology based on a computing fabricAllard, Mathieu / Grogan, Patrick / Savaria, Yvon / David, Jean-Pierre et al. | 2012
- 269
-
Hardware-efficient random sampling of fourier-sparse signalsMaechler, Patrick / Felber, Norbert / Kaeslin, Hubert / Burg, Andreas et al. | 2012
- 273
-
Compressive sensing based classification of intramuscular electromyographic signalsWilhelm, Keith / Massoud, Yehia et al. | 2012
- 277
-
Reconstruction of block-sparse signals by using an l2/p-regularized least-squares algorithmPant, Jeevan K. / Lu, Wu-Sheng / Antoniou, Andreas et al. | 2012
- 281
-
Reconstruction of compressively sensed complex-valued terahertz dataKhwaja, A. / Zhang, X. -P. et al. | 2012
- 285
-
On sparsity issues in compressive sensing based speech enhancementWu, Dalei / Zhu, Wei-Ping / Swamy, M.N.S. et al. | 2012
- 289
-
A 1-V 1.1-MHz BW digitally assisted multi-bit multi-rate hybrid CT ΣΔ with 78-dB SFDRBelotti, Oscar / Bonizzoni, Edoardo / Maloberti, Franco et al. | 2012
- 293
-
Power-scalable multi-mode reconfigurable continuous-time lowpass/quadrature bandpass sigma-delta modulator for zero/low-IF receiversXu, Yang / Chi, Baoyong / Wang, Zhihua et al. | 2012
- 297
-
Digitally-switched resonators for bandpass integrated transmission line ΣΔ modulatorsZahabi, A. / Jamal, F. / Becker, J. / Anis, M. / Ortmanns, M. et al. | 2012
- 301
-
Design methodology for Sigma-Delta modulators based on a genetic algorithm using hybrid cost functionsde Melo, J. L. A. / Nowacki, B. / Paulino, N. / Goes, J. et al. | 2012
- 305
-
A power-optimized reconfigurable CT ΔΣ modulator in 65nm CMOSWang, Rui / Wen, Xiaoke / Azadet, Kamran / Li, Changzhi / Chen, Jinghong et al. | 2012
- 309
-
A 10Gbps CDR based on phase interpolator for source synchronous receiver in 65nm CMOSHu, Shijie / Jia, Chen / Huang, Ke / Zhang, Chun / Zheng, Xuqiang / Wang, Zhihua et al. | 2012
- 313
-
A 9.6Gb/s 5+1-lane source synchronous transmitter in 65nm CMOS technologyHuang, Ke / Jia, Chen / Zheng, Xuqiang / Xu, Ni / Zhang, Chun / Rhee, Woogeun / Wang, Zhihua et al. | 2012
- 317
-
A 25 Gb/s full-rate CDR circuit based on quadrature phase generation in data pathZargaran-Yazd, Arash / Mirabbasi, Shahriar et al. | 2012
- 321
-
A 20 Gbps 1-tap decision feedback equalizer with unfixed tap coefficientKim, Yong-Hun / Kim, Lee-Sup et al. | 2012
- 325
-
A class of downsampled floating tap DFE architectures with application to serial linksAziz, Pervez M. / Kimura, Hiroshi / Malipatil, Amaresh V. / Kotagiri, Shiva et al. | 2012
- 329
-
Iris feature extraction based on gray-scale morphological skeletonHayashi, Nozomi / Taguchi, Akira et al. | 2012
- 333
-
The design of an in-line accelerometer-based inclination sensing systemYao, Xu / Sun, Guangmin / Lin, Wen-Yen / Chou, Wen-Cheng / Lei, Kin Fong / Lee, Ming-Yih et al. | 2012
- 337
-
Improved Cole-Cole parameter extraction from frequency response using least squares fittingFreeborn, Todd J. / Maundy, Brent / Elwakil, Ahmed et al. | 2012
- 341
-
Real-time obstructive sleep apnea detection based on ECG derived respiration signalHuang, Teng-chieh / Chen, Hsiao-yu / Fang, Wai-Chi et al. | 2012
- 345
-
Non-linear filter based outer product expansion with reference signal for EEG analysisItai, Akitoshi / Funase, Arao / Cichocki, Andrzej / Yasukawa, Hiroshi et al. | 2012
- 349
-
NBTI-aware dual threshold voltage assignment for leakage power reductionTu, Wen-Pin / Wu, Shih-Wei / Huang, Shih-Hsu / Chi, Mely Chen et al. | 2012
- 353
-
Analog layout retargeting with geometric programming and constrains symbolization methodWang, Shaoxi / Fan, Xiaoya / Zhang, Shengbing / Ming-e, Jing et al. | 2012
- 357
-
Thermal aware timing budget for buffer insertion in early stage of physical designKim, Minbeom / Ahn, Byung-Gyu / Kim, Jaehwan / Lee, Bongki / Chong, Jongwha et al. | 2012
- 361
-
Damping the cavity-mode anti-resonances' peaks on a power plane by swarm intelligence algorithmsTripathi, Jai Narayan / Chhabra, Nitin Kumar / Nagpal, Raj Kumar / Malik, Rakesh / Mukherjee, Jayanta et al. | 2012
- 365
-
A power-efficient sizing methodology of SAR ADCsHuang, Chun-Po / Chang, Soon-Jyh / Huang, Guan-Ying / Lin, Cheng-Wu et al. | 2012
- 369
-
Adaptive depth map filter for blocking artifacts removal and edge preservingHu, Wei / Au, Oscar C. / Sun, Lin / Sun, Wenxiu / Xu, Lingfeng / Li, Yujun et al. | 2012
- 373
-
High-quality view synthesis algorithm and architecture for 2D to 3D conversionLai, Yeong-Kang / Lai, Yu-Fan / Lin, Jung-Wei et al. | 2012
- 377
-
Multiview texture coding and free viewpoint image synthesis for mesh-based 3D video transmissionChiang, Jui-Chiu / Hou, Ping-He / Liu, Kai-Che / Lie, Wen-Nung et al. | 2012
- 381
-
Low complexity image rectification for multi-view video codingChoi, Minsu / Kim, Jinsang / Cho, Won-Kyung / Chung, Yunmo et al. | 2012
- 385
-
A new stereo packing format based on checkerboard sub-sampling for efficient stereo video codingChiang, An-Ti / Wang, Hung-Ming / Yang, Jar-Ferr / Wang, Jhing-Fa et al. | 2012
- 389
-
Bifurcation in standalone photovoltaic-battery hybrid power systemsXiong, Xiaoling / Tse, Chi K. / Ruan, Xinbo et al. | 2012
- 393
-
Application of chaotic maps for simultaneous lossy image compression and encryptionYuen, Ching-Hung / Lui, Oi-Yan / Wong, Kwok-Wo et al. | 2012
- 397
-
Bifurcations and chaos in electrostatic vibration energy harvestersBlokhina, Elena / Galayko, Dimitri / Wade, Rhona / Basset, Philippe / Feely, Orla et al. | 2012
- 401
-
Trapping region for the double scroll attractorGalias, Zbigniew et al. | 2012
- 405
-
Energy saving controlling chaosIto, Daisuke / Imura, Jun'ichi / Ueta, Tetsushi / Aihara, Kazuyuki et al. | 2012
- 409
-
Error control coding and signal processing for flash memoriesShin, Beomkyu / Seol, Changkyu / Chung, Jung-Soo / Kong, Jun Jin et al. | 2012
- 413
-
Low-cost, low-power and high-throughput BCH decoder for NAND Flash MemoryLee, Kijun / Lim, Sejin / Kim, Jaehong et al. | 2012
- 416
-
Improved hard-decision decoding LDPC Codec IP designKim, Daehyun / Chung, Biwoong / Kim, Roy E. et al. | 2012
- 420
-
Challenges and limitations of NAND flash memory devices based on floating gatesPark, Byoungjun / Cho, Sunghoon / Park, Milim / Park, Sukkwang / Lee, Yunbong / Cho, Myoung Kwan / Ahn, Kun-Ok / Bae, Gihyun / Park, Sungwook et al. | 2012
- 424
-
Novel integration technologies for improving reliability in NAND flash memoryShim, Hyunyoung / Cho, Myoungkwan / Ahn, Kunok / Bae, Gihyun / Park, Sungwook et al. | 2012
- 428
-
Class A+ amplifier with controlled positive feedback for discrete-time signal processing circuitsVenkatram, Hariprasath / Oh, Taehwan / Guerber, Jon / Moon, Un-Ku et al. | 2012
- 432
-
Using moderate inversion to optimize voltage gain, thermal noise, and settling time in two-stage CMOS amplifiersYang, Yi / Binkley, David M. / Li, Changzhi et al. | 2012
- 436
-
Constant and maximum bandwidth feedback amplifier with adaptive frequency compensationPennisi, Salvatore / Scotti, Giuseppe / Trifiletti, Alessandro et al. | 2012
- 440
-
A compact linearly tunable low voltage triode OTA using self-cascodesHizon, John Richard E. / Rodriguez-Villegas, Esther et al. | 2012
- 444
-
Switched-capacitor dc-dc converters with output inductive filterSalem, Loai / Ismail, Yehea et al. | 2012
- 448
-
Fully digital voltage-mode control based on predictive hysteresis method (FDVC-PH) for DC-DC convertersLiu, Ming / Nakagawa, Tatsuo / Osada, Kenichi et al. | 2012
- 452
-
A GIDL free tunneling gate driver for a low power non-volatile memory arrayDagan, Hadar / Teman, Adam / Fish, Alexander / Pikhay, Evgeny / Dayan, Vladislav / Roizin, Yakov et al. | 2012
- 456
-
A high-speed converter with light-load improvement circuit and transient detectorChia, Chu-Hsiang / Lei, Pui-Sun / Chang, Robert Chen-Hao et al. | 2012
- 460
-
Efficiency of switched-inductor dc-dc converter ICs across process technologiesKim, Suhwan / Rincon-Mora, Gabriel A. et al. | 2012
- 464
-
An inductorless frequency divider with 15GHz locking range using 90nm CMOS technologyHsu, Heng-Ming / Chou, Yi-Te / Hsu, Yo-Hao / Shu, Yue-Shiang et al. | 2012
- 468
-
A +21.2 dBm out-of-band IIP3 0.2-3GHz RF front-end using impedance translation techniqueChen, Long / Wang, Chuan / Li, Chen / Ye, Le / Liao, Huailin / Huang, Ru et al. | 2012
- 472
-
A 0.02-to-6GHz SDR balun-LNA using a triple-stage inverter-based amplifierMartins, Miguel A. / Mak, Pui-In / Martins, Rui P. et al. | 2012
- 476
-
A robust and large range optimally mismatched RF energy harvester with resonance control loopStoopman, Mark / Serdijn, Wouter A. / Philips, Kathleen et al. | 2012
- 480
-
Lower-bits cache for low power STT-RAM cachesAhn, Junwhan / Choi, Kiyoung et al. | 2012
- 484
-
Full-custom design of low leakage data preserving ground gated 6T SRAM cells to facilitate single-ended write operationsJiao, Hailong / Kursun, Volkan et al. | 2012
- 488
-
Low-power variation-aware flip flopJang, Youngkyu / Yoon, Changnoh / Kim, Jinsang / Cho, Won-Kyung et al. | 2012
- 492
-
Energy-delay efficient asynchronous-logic 16×16-bit pipelined multiplier based on Sense Amplifier-Based Pass Transistor LogicHo, Weng-Geng / Chong, Kwen-Siong / Lin, Tong / Gwee, Bah-Hwee / Chang, Joseph S. et al. | 2012
- 496
-
Low power 10-transistor full adder design based on degenerate pass transistor logicLin, Jin-Fa / Hwang, Yin-Tsung / Sheu, Ming-Hwa et al. | 2012
- 500
-
Visual-weighted motion compensation frame interpolation with motion vector refinementBai, Wei / Liu, Jiaying / Ren, Jie / Guo, Zongming et al. | 2012
- 504
-
An adaptive down-sampling based video coding with hybrid super-resolution methodHu, Zeng / Li, Houqiang / Li, Weiping et al. | 2012
- 508
-
A two level mode decision algorithm for H.264 high profile intra encodingChang, Cheng-Yen / Chien, Cheng-An / Chang, Hsiu-Cheng / Chen, Jia-Wei / Guo, Jiun-In et al. | 2012
- 512
-
Kinect-like depth denoisingFu, Jingjing / Wang, Shiqi / Lu, Yan / Li, Shipeng / Zeng, Wenjun et al. | 2012
- 516
-
A power-scalable concurrent cascade 2-2-2 SC ΣΔ modulator for Software Defined RadioMorgado, Alonso / Garcia, J. Gerardo / Asghar, Sohail / Guerrero, Luis I. / del Rio, Rocio / de la Rosa, Jose M. et al. | 2012
- 520
-
A 1.8 V 89.2 dB delta-sigma adc for sensor interface with on-chip referenceKwak, Yong-Sik / Kim, Kwangsoo / Ahn, Gil-Cho et al. | 2012
- 524
-
Device noise in continuous-time ΔΣ modulators with Switched-Capacitor feedback DACsRajan, Radha S. / Pavan, Shanthi et al. | 2012
- 528
-
A simple and efficient dithering method for vector quantizer based mismatch-shaped ΔΣ DACsSanyal, Arindam / Sun, Nan et al. | 2012
- 532
-
A ΔΣ-cyclic hybrid ADC for parallel readout sensor applicationsKim, Min-Kyu / Shin, Min-Seok / Jo, Yun-Rae / Kim, Jong-Boo / Gou, Jaseung / Yoo, Sangdong / Kwon, Oh-Kyong et al. | 2012
- 536
-
Design of FlexRay-MOST gateway using static segments and control messagesDong, Ze-Hua / Piao, Zhe-Yan / Jang, In-Gul / Chung, Jin-Gyun / Lee, Chul-Dong et al. | 2012
- 540
-
Dual queue based rate selecting schedule for throughput enhancement in WLANsKim, Dongwan / Lim, Wan-Seon / Park, Jongsun et al. | 2012
- 544
-
QoS-aware network selection for seamless multimedia serviceKang, Shin-Hun / Kim, Jae-Hyun et al. | 2012
- 548
-
Information theoretic analysis of concurrent information transfer and power gainSteiner, Fabian / Mezghani, Amine / Nossek, Josef A. et al. | 2012
- 552
-
A hybrid approach to I/Q imbalance self-calibration in reconfigurable low-IF receiversXu, Yang / Qi, Nan / Chen, Zhou / Chi, Baoyong / Wang, Zhihua et al. | 2012
- 556
-
A SoC design for portable 2-dimension oximeter image systemCheng, Ching-Ju / Wu, Shih-Yang / Kang, Shih / Chen, Tien-Ho / Fang, Wai-Chi et al. | 2012
- 560
-
An efficient data extraction method for high-temporal-and-spatial-resolution near infrared spectroscopy (NIRS) systemsChoi, JongKwan / Choi, MinGyu / Bae, Hyeon-Min et al. | 2012
- 564
-
A many-core platform implemented for multi-channel seizure detectionBisasky, Jordan / Chandler, Darin / Mohsenin, Tinoosh et al. | 2012
- 568
-
Spectral techniques for classifying short exon and intron sequencesKwan, Benjamin Y. M. / Kwan, Jennifer Y. Y. / Kwan, Hon Keung et al. | 2012
- 572
-
Pipelined FPGA design of the Goertzel algorithm for exon predictionBui, Hung Tien et al. | 2012
- 576
-
An energy-efficient high-level synthesis algorithm for huddle-based distributed-register architecturesAbe, Shin-ya / Yanagisawa, Masao / Togawa, Nozomu et al. | 2012
- 580
-
A novel particle swarm optimization for high-level synthesis of digital filtersHashemi, Seyyed Ali / Nowrouzian, Behrouz et al. | 2012
- 584
-
A formal approach to slack-driven high-level synthesisYeh, Hua-Hsin / Huang, Shih-Hsu / Cheng, Chun-Hua et al. | 2012
- 588
-
Multiple real-constant multiplication with improved cost model and greedy and optimal searchesGately, M. B. / Yeary, M. B. / Tang, C. Y. et al. | 2012
- 592
-
Verification of fixed-point datapaths with comparator units using Constrained Arithmetic Transform (CAT)Sarbishei, O. / Radecka, K. et al. | 2012
- 596
-
A theoretical and empirical error analysis of mobile 3D data acquisition systemRen, Yiyi / Chen, Wenshou / Xie, Xiang / Li, Guolin / Deng, Yangdong / Zhao, Kai / Shi, Enbo / Wang, Zhihua et al. | 2012
- 600
-
Disparity map acquisition with occlusion handling using warping constraintJang, Woo-Seok / Ho, Yo-Sung et al. | 2012
- 604
-
Texture-assisted Kinect depth inpaintingMiao, Dan / Fu, Jingjing / Lu, Yan / Li, Shipeng / Chen, Chang Wen et al. | 2012
- 608
-
Low latency design of Depth-Image-Based Rendering using hybrid warping and hole-fillingHsiao, Shen-Fu / Cheng, Jin-Wen / Wang, Wen-Ling / Yeh, Guan-Fu et al. | 2012
- 612
-
Keyframe selection for motion capture using motion activity analysisKim, Ming-Hwa / Chau, Lap-Pui / Siu, Wan-Chi et al. | 2012
- 616
-
Experimental validation of pinning controllability in networked Chua's circuitsDeLellis, P. / de Magistris, M. / di Bernardo, M. / Manfredi, S. et al. | 2012
- 620
-
Analysis of synchronization phenomenon in coupled oscillator chainsMatsumura, Kosuke / Nagai, Takahiro / Uwate, Yoko / Nishio, Yoshifumi et al. | 2012
- 624
-
Channel equalization and timing recovery technique for chaotic communications systemsZhu, Zhiwen / Leung, Henry et al. | 2012
- 628
-
Analysis of limit cycles in a PI digitally controlled buck converterBradley, Mark / Alarcon, Eduard / Feely, Orla et al. | 2012
- 632
-
Performance comparison of approximation algorithms for the minimum weight vertex cover problemTaoka, Satoshi / Watanabe, Toshimasa et al. | 2012
- 636
-
NoC architectures with adaptive Code Division Multiple Access based wireless linksVidapalapati, Anuroop / Vijayakumaran, Vineeth / Ganguly, Amlan / Kwasinski, Andres et al. | 2012
- 640
-
A unified design methodology for a hybrid wireless 2-D NoCMore, Ankit / Taskin, Baris et al. | 2012
- 644
-
Proposal and evaluation of a task migration protocol for NoC-based MPSoCsMoraes, Fernando G. / Madalozzo, Guilherme A. / Castilhos, Guilherme M. / Carara, Everton A. et al. | 2012
- 648
-
Task-binding based branch-and-bound algorithm for NoC mappingZhou, Liyang / Jing, Ming'e / Zhong, Liulin / Yu, Zhiyi / Zeng, Xiaoyang et al. | 2012
- 652
-
Floorplan-aware hierarchical NoC topology with GALS interfacesMatos, Debora / Reinbrecht, Cezar / Palermo, Gianluca / Martinelli, Jonathan / Susin, Altamiro / Silvano, Cristina / Carro, Luigi et al. | 2012
- 656
-
A 2.6nW, 0.5V, 52dB-DR, 4th-order Gm-C BPF: Moving closer to the FoM's fundamental limitSawigun, Chutham / Ngamkham, Wannaya / Serdijn, Wouter A. et al. | 2012
- 660
-
An adaptive microphone preamplifier for low power applicationsDu, Dingkun / Odame, Kofi et al. | 2012
- 664
-
Discrete space continuous time 2D delay block using 2D all-pass frequency planar networksWijenayake, Chamith / Madanayake, Arjuna / Xu, Yongsheng / Belostotski, Leonid / Bruton, Len T. et al. | 2012
- 668
-
A 1.8V–0.18µm CMOS lock-in amplifier for portable applicationsMaya-Hernandez, P.M. / Sanz-Pascual, M.T. / Calvo, B. et al. | 2012
- 672
-
Widely reconfigurable 8th-order chebyshev analog baseband IC with proposed push-pull op-amp for Software-Defined Radio in 65nm CMOSYe, Le / Wang, Yixiao / Chen, Long / Liao, Huailin / Huang, Ru et al. | 2012
- 676
-
High-damping energy-harvesting electrostatic CMOS chargerPeterson, Karl / Rincon-Mora, Gabriel A. et al. | 2012
- 680
-
An RF-to-DC energy harvester for co-integration in a low-power 2.4 GHz transceiver frontendMasuch, Jens / Delgado-Restituto, Manuel / Milosevic, Dusan / Baltus, Peter et al. | 2012
- 684
-
Electronically tunable switch-mode high-efficiency adaptive band-pass filters for energy harvesting applicationsCid-Fuentes, Raul Gomez / Martinez, Herminio / Poveda, Alberto / Alarcon, Eduard et al. | 2012
- 688
-
Ripple-based prediction of fast-scale instabilities in current mode controlled switching convertersRodriguez, E. / Martinez, H. / Guinjoan, F. / Poveda, A. / El Aroudi, A. / Alarcon, E. et al. | 2012
- 692
-
Differential zero compensator in delay-ripple reshaped constant on-time control for buck converter with multi-layer ceramic capacitorsChen, Wei-Chung / Lin, Chia-Ching / Chen, Ke-Horng et al. | 2012
- 696
-
Live demonstration: A FSK-OOK ultra wideband impulse radio system with spontaneous clock and data recoveryTang, Wei / Chen, Shoushun / Culurciello, Eugenio et al. | 2012
- 701
-
Live demonstration: A real-time moving object localization and extraction systemZhao, Bo / Chen, Shoushun et al. | 2012
- 702
-
Live demonstration: A scaled-down version of the BrainScaleS wafer-scale neuromorphic systemSchemmel, Johannes / Grubl, Andreas / Hartmann, Stephan / Kononov, Alexander / Mayr, Christian / Meier, Karlheinz / Millner, Sebastian / Partzsch, Johannes / Schiefer, Stefan / Scholze, Stefan et al. | 2012
- 703
-
Event-driven body motion analysis for real-time gesture recognitionKohn, Bernhard / Belbachir, Ahmed Nabil / Hahn, Thomas / Kaufmann, Hannes et al. | 2012
- 707
-
Live demonstration: Hierarchical Address-Event Routing architecture for reconfigurable large scale neuromorphic systemsPark, Jongkil / Yu, Theodore / Maier, Christoph / Joshi, Siddharth / Cauwenberghs, Gert et al. | 2012
- 712
-
A straightforward approach of Automatic Parking System - “Training-Recording-Play back”Run, Ray-Shine / Chang, Yi-Chih / Cheng, Feng-Chi et al. | 2012
- 716
-
Live demonstration: “Internet Booster” a novel WEB application platform accelerated by reconfigurable virtual hardware circuitsTamukoh, Hakaru / Bergstein, Nadav / Fujita, Kotoko / Sekine, Masatoshi et al. | 2012
- 717
-
High resolution distance sensing for mini-robots using Time Difference of ArrivalSineriz, George / Kuhlman, Michael J. / Abshire, Pamela A. et al. | 2012
- 721
-
Live demonstration: On the distance estimation of moving targets with a Stereo-Vision AER systemDominguez-Morales, M. / Jimenez-Fernandez, A. / Paz-Vicente, R. / Jimenez, G. / Linares-Barranco, A. et al. | 2012
- 726
-
Live demonstration: A bio-inspired asynchronous pixel event tri-color vision sensorLenero-Bardallo, Juan A. / Bryn, D.H. / Hafliger, P. et al. | 2012
- 727
-
Real-time 360° panoramic views using BiCa360, the fast rotating dynamic vision sensor to up to 10 rotations per SecBelbachir, A.N. / Mayerhofer, M. / Matolin, D. / Colineau, J. et al. | 2012
- 731
-
CARE: A dynamic stereo vision sensor system for fall detectionBelbachir, A.N. / Litzenberger, M. / Schraml, S. / Hofstatter, M. / Bauer, D. / Schon, P. / Humenberger, M. / Sulzbachner, C. / Lunden, T. / Merne, M. et al. | 2012
- 735
-
Live demonstration: High fill factor CIS based on single inverter architectureL, Sang-Jin / Kavehei, Omid / Eshraghian, Kamran / Cho, Kyoungrok et al. | 2012
- 736
-
Live demonstration: Behavioural emulation of event-based vision sensorsKatz, M. L. / Nikolic, K. / Delbruck, T. et al. | 2012
- 741
-
Live demonstration: Gesture-based remote control using stereo pair of dynamic vision sensorsLee, Junhaeng / Delbruck, T. / Park, Paul K. J. / Pfeiffer, Michael / Shin, Chang-Woo / Ryu, Hyunsurk / Kang, Byung Chang et al. | 2012
- 746
-
Recent progress in terahertz monolithic integrated circuitsKim, Moonil / Rieh, Jae-Sung / Jeon, Sanggeun et al. | 2012
- 750
-
Multi-channel DVB-T transmitter design based on the SW/HW co-design methodHeo, Seo Weon et al. | 2012
- 754
-
A model-first design and verification flow for analog-digital convergence systems: A high-speed receiver example in digital TVsKim, Jaeha / Ryu, Sigang / Yoo, Byoungjoo / Kim, Hanseok / Choi, Yunju / Jeong, Deog-Kyoon et al. | 2012
- 758
-
SNR-based adaptive modulation for wireless LAN systemsKim, Chanhong / Jeong, Kyowon / Ko, Kyungjun / Lee, Jungwoo et al. | 2012
- 762
-
Transpose-free SAR imaging on FPGA platformYu, Chi-Li / Chakrabarti, Chaitali et al. | 2012
- 766
-
Efficient scissoring scheme for scanline-based rendering of 2D vector graphicsLin, Wen-Ching / Ye, Jheng-Hao / Yang, Der-Wei / Huang, Si-Yu / Shieh, Ming-Der / Wang, Jonas et al. | 2012
- 770
-
A practical hardware design for the keypoint detection in the SIFT algorithm with a reduced memory requirementKim, Eung Sup / Lee, Hyuk-Jae et al. | 2012
- 774
-
Rotation-invariant hand posture classification with a convexity defect histogramHong, Juhyeon / Kim, Eung Sup / Lee, Hyuk-Jae et al. | 2012
- 778
-
Hardware-efficient filterbank design for fast recursive MDST and IMDST algorithmsLai, Shin-Chi / Yeh, Yi-Ping / Lei, Sheau-Fang et al. | 2012
- 782
-
Variable fractional delay FIR filters with sparse coefficientsLu, Wu-Sheng / Hinamoto, Takao et al. | 2012
- 786
-
Bi-minimax design of odd-order variable fractional-delay digital filtersDeng, Tian-Bo et al. | 2012
- 790
-
Bias free adaptive exponential notch filter with low constant delayShiogai, Kazuki / Sasaoka, Naoto / Itoh, Yoshio / Kinugasa, Yasutomo / Kobayashi, Masaki et al. | 2012
- 794
-
Design of robust H∞ Filters for markovian jump systems with time-varying delays and parametric uncertaintiesZhang, Baoyong / Zheng, Wei Xing et al. | 2012
- 798
-
A 12-bit, 270MS/s pipelined ADC with SHA-eliminating front endWang, Xuan / Yang, Changyi / Zhao, Xiaoxiao / Wu, Chao / Li, Fule / Wang, Zhihua / Wu, Bin et al. | 2012
- 802
-
A 12b 60MS/s SHA-less opamp-sharing pipeline A/D with switch-embedded dual input OTAsWen, Xiaoke / Wang, Rui / Peng, Renguo / Hao, Min / Chen, Jinghong et al. | 2012
- 806
-
Novel overshoot cancellation in comparator-based pipelined ADCTang, Xian / Pun, Kong-Pang et al. | 2012
- 810
-
Correlated jitter sampling for jitter cancellation in pipelined TDCOh, Taehwan / Venkatram, Hariprasath / Guerber, Jon / Moon, Un-Ku et al. | 2012
- 814
-
RNS encoding based folding ADCVun, C. H. / Premkumar, A. B. et al. | 2012
- 818
-
An enhanced covariance spectrum sensing technique based on stochastic resonance in cognitive radio networksHe, Di / Li, Winston / Zhu, Fusheng / Lin, Weiyao et al. | 2012
- 822
-
Mixed-domain receiver architecture for white space software-defined radio scenariosGomez-Garcia, Roberto / Vieira, Jose / Carvalho, Nuno Borges / Magalhaes, Jose Pedro et al. | 2012
- 826
-
Subsampling based Software Defined Radio with jitter compensationZhao, Duan / Serdijn, Wouter A. / Dolmans, Guido et al. | 2012
- 830
-
Acquisition of multiband signals with minimum sub-Nyquist samplingMunoz-Ferreras, Jose-Maria / Gomez-Garcia, Roberto / Perez-Martinez, Felix et al. | 2012
- 834
-
A triple-band flexible low-noise transmitter with linearity enhancementLi, Yilei / Dong, Chuansheng / Han, Kefeng / Zhang, Cheng / Yu, Yongchang / Tan, Xi / Yan, Na / Min, Hao et al. | 2012
- 838
-
A hybrid multi-tanh bulk-driven input stage OTA for Low-THD biomedical Gm-C applicationsFerreira, Luis H. C. / Sonkusale, Sameer R. et al. | 2012
- 842
-
A low-power current-mode front-end acquisition system for biopotential signal recordingChen, Wei-Ming / Kuo, Liang-Ting / Wu, Chung-Yu et al. | 2012
- 846
-
A new shared-input amplifier architecture with enhanced noise-power efficiency for parallel biosignal recordingsCoulombe, Jonathan / Rossel, Olivier / Bernard, Serge / Soulier, Fabien / Cathebras, Guy et al. | 2012
- 850
-
Offset correction of low power, high precision op amp using digital assist for biomedical applicationsDuwe, Matt et al. | 2012
- 854
-
Current readout circuit using two-stage amplification method for 64-channel CNT arraysShin, Young-San / Lee, Seongsoo / Wee, Jae-Kyung et al. | 2012
- 858
-
Image-driven simplification with single viewpointTan, Cheen-Hau / Chau, Lap-Pui et al. | 2012
- 862
-
Content-based spam filtering using hybrid generative discriminative learning of both textual and visual featuresAmayri, Ola / Bouguila, Nizar et al. | 2012
- 866
-
Dynamic textures indexing and retrieval based on intrinsic propertiesJian, Muwei / Lam, Kin-Man / Dong, Junyu et al. | 2012
- 870
-
Constrained active contours for boundary refinement in interactive image segmentationAnh, Nguyen Thi Nhat / Cai, Jianfei / Zhang, Juyong / Zheng, Jianmin et al. | 2012
- 874
-
A comparison of SVM and asymmetric SIMPLS in emotion recognition from naturalistic dialoguesHuang, Dong-Yan / Sun, Wei et al. | 2012
- 878
-
Counter based adaptation for CAVLC in HEVCLi, Bin / Xu, Jizheng / Li, Houqiang et al. | 2012
- 882
-
Complexity analysis of next-generation HEVC decoderViitanen, Marko / Vanne, Jarno / Hamalainen, Timo D. / Gabbouj, Moncef / Lainema, Jani et al. | 2012
- 886
-
Compression performance of high efficiency video coding (HEVC) working draft 4Li, Bin / Sullivan, Gary J. / Xu, Jizheng et al. | 2012
- 890
-
Scalability support in HEVCHong, Danny / Jang, Wonkap / Boyce, Jill / Abbas, Adeel et al. | 2012
- 894
-
Improved near-lossless HEVC codec for depth map based on statistical analysis of residual dataChoi, Jung-Ah / Ho, Yo-Sung et al. | 2012
- 898
-
Topology detection of complex networks with hidden variables and stochastic perturbationsWu, Xiaoqun / Wang, Weihan / Zheng, Wei Xing et al. | 2012
- 902
-
A degree-based genetic algorithm for constrained pinning control in complex networksYang, Cui-Li / Tang, Wallace Kit-Sang et al. | 2012
- 906
-
Effect of assortativity on traffic performance in scale-free networksXia, Yongxiang / Tse, Chi K. / Lau, Francis C. M. et al. | 2012
- 910
-
Bridge time series and complex networks with a frequency-degree mapping algorithmYang, Dong / Li, Xiang et al. | 2012
- 914
-
Clustering phenomena in complex networks of chaotic circuitsTakamaru, Yuji / Kataoka, Hiroshige / Uwate, Yoko / Nishio, Yoshifumi et al. | 2012
- 918
-
Sparsity-based online missing sensor data recoveryGuo, Di / Qu, Xiaobo / Huang, Lianfen / Yao, Yan / Liu, Zicheng / Sun, Ming-Ting et al. | 2012
- 922
-
A 33 × 25 µm2 low-power range finderDavidovic, Milos / Hofbauer, Michael / Zimmermann, Horst et al. | 2012
- 926
-
A multiresolution algorithm for focal-plane compressionWang, Hsuan-Tsung / Leon-Salas, Walter D. et al. | 2012
- 930
-
CMOS 3-T digital pixel sensor with in-pixel shared comparatorHo, Derek / Gulak, Glenn / Genov, Roman et al. | 2012
- 934
-
Characterization of silicon field effect transistor sub-THz detectors for imaging systemsFoldesy, Peter et al. | 2012
- 938
-
A retargeting methodology of nano-watt CMOS reference circuit based on advanced compact MOSFET modelChen, Gong / Yang, Bo / Nakatake, Shigetoshi / Huang, Zhangcai / Inoue, Yasuaki et al. | 2012
- 942
-
The effect of correlated level shifting on noise performance in switched capacitor circuitsHershberg, Benjamin / Musah, Tawfiq / Weaver, Skyler / Moon, Un-Ku et al. | 2012
- 946
-
On synthesis of pulse-transforming linear networksFilanovsky, I. M. et al. | 2012
- 950
-
Wave repetitive process approach to a class of ladder circuitsPalucki, Bartosz / Galkowski, Krzysztof / Kummert, Anton / Cichy, Blazej et al. | 2012
- 954
-
Design and characterization of symmetric multi-tap transformersYu, Xiaohua / Neihart, Nathan M. et al. | 2012
- 958
-
A pMOS-based double-ladder integrated charge pump for standard processBazzini, Andrea / Liu, Jingqi / Gregori, Stefano et al. | 2012
- 962
-
On-chip digital inductor current sensor for monolithic digitally controlled DC-DC ConvertersChan, Man Pun / Mok, Philip K. T. et al. | 2012
- 966
-
A high efficiency adaptive frequency hopping controlled 1/3× step-down switch capacitor DC-DC converter with deep-green mode operationMing, Da-Long / Lee, Yu-Huei / Chen, Ke-Horng et al. | 2012
- 970
-
An event-driven ultra-low-current battery management system with reconfigurable linear regulator for multi-cell battery applicationsHua, Jun / Lee, Hoi / King, Ken et al. | 2012
- 974
-
A voltage-mode DC-DC converter with enhanced transient responsesJang, Kichang / Choi, Jungsoo / Park, Chulkyu / Choi, Joongho et al. | 2012
- 978
-
Ultra-low power sensor platform with wireless charging systemHong, Young-Jun / Kang, Joonseong / Kim, Seong Joong / Kim, Sang Joon / Kwon, Ui-Kun et al. | 2012
- 982
-
A new circuit structure for near field wireless power transmissionYoon, Seung Keun / Kim, Sang Joon / Kwon, Ui Kun et al. | 2012
- 986
-
Challenges and directions of ultra low energy wireless sensor nodes for biosignal monitoringKim, Seong Joong / Kim, Bumman / Nam, Sangwook / Markovic, Dejan / Lee, Sang-Gug / Lee, Jaesup et al. | 2012
- 990
-
An energy-efficient interface for resonant sensors based on ring-down measurementPertijs, Michiel A.P. / Zeng, Zeng / Karabacak, Devrez M. / Crego-Calama, Mercedes / Brongersma, Sywert H. et al. | 2012
- 994
-
A hydrogel-based implantable wireless CMOS glucose sensor SoCKuo, Po-Hung / Lu, Shey-Shi / Kuo, Jui-Chang / Yang, Yao-Joe / Wang, Tao / Ho, Yi-Lwun / Chen, Ming-Fong et al. | 2012
- 998
-
Hardware-efficient VLSI implementation for 3-parallel linear-phase FIR digital filter of odd lengthTsao, Yu-Chi / Choi, Ken et al. | 2012
- 1002
-
An FPGA-based acceleration platform for auction algorithmZhu, Pengfei / Zhang, Chun / Li, Hua / Cheung, Ray C.C. / Hu, Bryan et al. | 2012
- 1006
-
Dynamically adaptable NoC router architecture for multiple pixel streams applicationsNgan, Nicolas / Dokladalova, Eva / Akil, Mohamed et al. | 2012
- 1010
-
Efficient TWIN-VQ audio decoder implementation on a configurable processor using instruction extensionHwang, Yin-Tsung / Huang, Tao-Hsing et al. | 2012
- 1014
-
Hardware efficient recursive VLSI architecture for multilevel lifting 2-D DWTDarji, A.D. / Trivedi, Nisarg / Merchant, S.N. / Chandorkar, A.N. et al. | 2012
- 1018
-
Improved speech presence probability estimation based on wavelet denoisingLun, Daniel Pak-Kong / Shen, Tak-Wai / Hsung, Tai-Chiu / Ho, Dominic K.C. et al. | 2012
- 1026
-
A new recursive algorithm for time-varying autoregressive (TVAR) model estimation and its application to speech analysisChu, Y. J. / Chan, S. C. / Zhang, Z. G. / Tsui, K. M. et al. | 2012
- 1030
-
Detection of voice disorders based on wavelet and prosody-related propertiesShahnaz, C. / Fattah, S. A. / Mahbub, U. / Zhu, W.-P. / Ahmad, M. O. et al. | 2012
- 1034
-
Scalable multi-rate iLBCSeto, Koji / Ogunfunmi, Tokunbo et al. | 2012
- 1038
-
A 14 bit self-calibrating charge redistribution SAR ADCHaenzsche, Stefan / Henker, Stephan / Schuffny, Rene / Reichel, Thomas / Garzarolli, Matthias et al. | 2012
- 1042
-
A 10-Bit 200-MS/s digitally-calibrated pipelined ADC using switching opampsFang, Bing-Nan / Wu, Jieh-Tsorng et al. | 2012
- 1046
-
A low power oscillator based TDC with in-system non-linearity correctionVoelker, Matthias / Hauer, Johann et al. | 2012
- 1050
-
All-digital background calibration for time-interleaved ADC using pseudo aliasing signalMatsuno, Junya / Yamaji, Takafumi / Furuta, Masanori / Itakura, Tetsuro et al. | 2012
- 1054
-
Digital foreground calibration methods for SAR ADCsLi, Wei / Wang, Tao / Temes, Gabor C. et al. | 2012
- 1058
-
Frequency scaling of power reclamation networks in outphasing PA architecturesTian, David / Carley, L. Richard / Ricketts, David S. et al. | 2012
- 1062
-
A 213GHz – 228GHz, −91dB/Hz phase noise triple push oscillator in 65nm CMOSMuralidharan, Sriram / Hella, Mona et al. | 2012
- 1066
-
An efficient blind fine synchronization scheme for SCBT systemsLin, Ying-Tsung / Chen, Sau-Gee et al. | 2012
- 1071
-
A 50GHz 130µW inductorless prescaler in 45nm SOI CMOS using ETSPC logicRoa, Elkim / Jung, Byunghoo et al. | 2012
- 1075
-
Compact chopper-stabilized neural amplifier with low-distortion high-pass filter in 0.13µm CMOSAbdelhalim, Karim / Genov, Roman et al. | 2012
- 1079
-
Bidirectional current conveyer with chopper stabilization and dynamic element matchingJafari, Hamed Mazhab / Genov, Roman et al. | 2012
- 1083
-
Biphasic stimulator circuit for a wide range of electrode-tissue impedance dedicated to cochlear implantsNgamkham, Wannaya / van Dongen, Marijn N. / Serdijn, Wouter A. et al. | 2012
- 1087
-
A 36V biphasic stimulator with electrode monitoring circuitLee, Edward K.F. / Dai, Rongching / Reeves, Natasha / Yun, Xiao et al. | 2012
- 1091
-
An energy-efficient, dynamic voltage scaling neural stimulator for a proprioceptive prosthesisWilliams, Ian / Constandinou, Timothy G. et al. | 2012
- 1095
-
A scalable resource allocation framework for SVC video transmissions over downlink MIMO-OFDM networksLi, Maodong / Chen, Zhenzhong / Tan, Yap-Peng et al. | 2012
- 1099
-
Low complexity iterative multimedia resource allocation based on game theoretic approachKim, Eunji / Park, Hyunggon / Frossard, Pascal et al. | 2012
- 1103
-
QoE-aware resource allocation for integrated surveillance system over 4G mobile networksWu, Po-Han / Hwang, Jenq-Neng / Pyun, Jae-Young / Lan, Kung-Ming / Chen, Jian-Ren et al. | 2012
- 1107
-
A low-latency transmission scheme for interactive screen sharingPan, Zhaotai / Shen, Huifeng / Lu, Yan / Li, Shipeng et al. | 2012
- 1111
-
Optimal resource allocation for multimedia cloud in priority service schemeNan, Xiaoming / He, Yifeng / Guan, Ling et al. | 2012
- 1115
-
Human emotion recognition using a deformable 3D facial expression modelTie, Yun / Guan, Ling et al. | 2012
- 1119
-
A novel hardware algorithm for real-time image recognition based on real AdaBoost classificationAoki, Takashi / Hosoya, Eiichi / Otsuka, Takuya / Onozawa, Akira et al. | 2012
- 1123
-
Generalized subspace distance for set-to-set image classificationHuang, Likun / Lu, Jiwen / Yang, Gao / Tan, Yap-Peng et al. | 2012
- 1127
-
Adaptive binary mask for privacy region protectionWang, Yongsheng / O'Neill, Maire / Kurugollu, Fatih et al. | 2012
- 1131
-
Robust and discriminative image authentication based on standard model featureMou, Luntian / Chen, Xilin / Tian, Yonghong / Huang, Tiejun et al. | 2012
- 1135
-
Bifurcations in frequency controlled load resonant DC-DC convertersMandal, Kuntal / Banerjee, Soumitro / Chakraborty, Chandan / Chakraborty, Mrityunjoy et al. | 2012
- 1139
-
Realization of an analog model of memristor based on light dependent resistorFitch, A.L. / Iu, H.H.C. / Wang, X.Y. / Sreeram, V. / Qi, W.G. et al. | 2012
- 1143
-
Finding all modes of nonlinear oscillations by the Krawczyk-Moore-Jones algorithmOkumura, Kohshi et al. | 2012
- 1147
-
Effect of capacitor nonlinearity on the oscillation frequency of a digitally-controlled oscillator using oppositely-coupled PMOS capacitor pairsPark, Jeong-Ho / Yoo, Sang-Sun / Cho, Han-Won / Yoo, Hyung-Joun et al. | 2012
- 1151
-
A low-noise interface circuit for MEMS cochlea-mimicking acoustic sensorsWang, Shiwei / Koickal, Thomas Jacob / Hamilton, A. / Mastropaolo, E. / Latif, R. / Cheung, R. / Newton, M. / Smith, L. et al. | 2012
- 1155
-
Analog sensing front-end system for harmonic signal classificationWhite, Daniel J. / William, Peter E. / Hoffman, Michael W. / Balkir, Sina / Schemm, Nathan et al. | 2012
- 1159
-
Real-time speaker identification using the AEREAR2 event-based silicon cochleaLi, Cheng-Han / Delbruck, Tobi / Liu, Shih-Chii et al. | 2012
- 1163
-
CMOS monolithic chemiresistor array with microfluidic channel for micro gas chromatographMu, Xiaoyi / Ward, Nathan / Li, Lin / Li, Wen / Mason, Andrew J. / Covington, Elizabeth / Serrano, Gustavo / Kurdak, Cagliyan / Zellers, Edward et al. | 2012
- 1167
-
A self-powered static-strain sensor based on differential linear piezo-floating-gate injectorsSarkar, Pikul / Huang, Chenling / Chakrabartty, Shantanu et al. | 2012
- 1171
-
A preamplifier for the front-end readout system of particles tracking in secondary electron detectorsGarzon-Camacho, A. / Fernandez, B. / Alvarez, M.A.G. / Ceballos, J. / de la Rosa, J.M. et al. | 2012
- 1175
-
Electronically tunable current-mode universal biquadratic filter using a single CCCFTAKumngern, Montree et al. | 2012
- 1179
-
Distortion analysis of the alternative doubly-terminated ladder fully-differential Gm-C filtersChoogorn, Terdpun / Mahattanakul, Jirayuth et al. | 2012
- 1183
-
A modular transconductance reduction technique for very low-frequency Gm-C filtersSawigun, Chutham / Serdijn, Wouter A. et al. | 2012
- 1187
-
A 6th order zero capacitor spread 1MHz – 10MHz tunable CMOS active-RC low pass filter with fast tuning schemeJin, Xin / Dai, Fa Foster et al. | 2012
- 1191
-
Triple loop modulation (TLM) for high reliability and efficiency in Power Factor Correction (PFC) systemTsai, Jen-Chieh / Ni, Chia-Lung / Chen, Chun-Yen / Chen, Yi-Ting / Chen, Chi-Lin / Chen, Ke-Horng et al. | 2012
- 1195
-
Anti-windup dual-loop control of DFIG under unbalanced voltage conditionsLi, Zhen / Wong, Siu-Chung / Tse, Chi K. et al. | 2012
- 1199
-
Simple circuit-based solution to problem of residential load participation in demand responseDeese, Anthony S. / Carrigan, Brian / Klein, Elie / Stein, Elliot et al. | 2012
- 1203
-
Dynamic characterization of building electrical loads by equivalent energy circuit analysisMuthalib, Mohammed / Nwankpa, Chika et al. | 2012
- 1207
-
Design of modular field programmable analog array hardware for analysis of large power systemsDeese, Anthony S. / Nwankpa, Chika O. / Jimenez, Juan / Berardino, Jonathan / Hill, Jesse et al. | 2012
- 1211
-
Image processing and vision techniques for smart vehiclesUl Haq, Ehsan / Hussain Pirzada, Syed Jahanzeb / Piao, Jingchun / Yu, Teng / Shin, Hyunchul et al. | 2012
- 1215
-
Local self-similarity based backprojection for image upscalingLee, HyeongKoo / Kim, Tae-Chan et al. | 2012
- 1219
-
Boosted-PCA for binary classification problemsHam, Seaung Lok / Kwak, Nojun et al. | 2012
- 1223
-
A new edge directed interpolation algorithm using accurate estimation of edge directional covarianceBae, Jonghyun / Yun, Yujin / Kim, Kyungman / Kim, Jaeseok et al. | 2012
- 1227
-
A new taxonomy for reconfigurable prefix addersBailey, Stevo D. / Stan, Mircea R. et al. | 2012
- 1231
-
Residue arithmetic for designing multiply-add units in the presence of non-gaussian variationKouretas, I. / Paliouras, V. et al. | 2012
- 1235
-
A fast and compact circuit for integer square root computation based on Mitchell logarithmic methodLow, Joshua Yung Lih / Jong, Ching Chuen / Low, Jeremy Yung Shern / Tay, Thian Fatt / Chang, Chip-Hong et al. | 2012
- 1239
-
Design and implementation of a Radix-100 division unitWang, Zhuo / Han, Liu / Ko, Seok-Bum et al. | 2012
- 1243
-
Correctly rounded constant integer division via multiply-addDrane, Theo / Cheung, Wai-chuen / Constantinides, George et al. | 2012
- 1247
-
Statistics-based LINC amplifier calibrationHuang, Xinping / Caron, Mario et al. | 2012
- 1251
-
A constant-throughput LLL algorithm with deep insertion for LR-aided MIMO detectionChen, Chiao-En / Su, Hang / Liao, Chun-Fu / Huang, Yuang-Hao et al. | 2012
- 1255
-
Joint data detection and channel estimation for CPM in frequency-flat fading channelWang, Wenwen / Abeysekera, Saman S. et al. | 2012
- 1259
-
Memory and computation reduction for least-square channel estimation of mobile OFDM systemsXu, Tao / Tang, Zijian / Lu, Hao / van Leuken, Rene et al. | 2012
- 1263
-
Detection of partial-band noise interference in slow FH/QPSK systemsAung, Aye / Teh, Kah Chan / Li, Kwok Hung et al. | 2012
- 1267
-
Analysis and Design of a 14-bit SAR ADC using self-calibration DACSun, Lei / Pun, Kong-Pang / Wong, Alex et al. | 2012
- 1271
-
Digital background calibration of redundant split-flash ADC in 45nm CMOSMajidi, Rabeeh / Crasso, Anthony / McNeill, John A. et al. | 2012
- 1275
-
A low-power 10-bit 50-MS/s SAR ADC using a parasitic-compensated split-capacitor DACGuo, Wei / Mirabbasi, Shahriar et al. | 2012
- 1279
-
Impact of gradient error on switching sequence in high-accuracy thermometer-decoded current-steering DACsKarimian, Masood / Hashemi, Saeid / Naderi, Ali / Sawan, Mohamad et al. | 2012
- 1283
-
A low-power dynamic comparator with digital calibration for reduced offset mismatchChen, Denis Guangyin / Bermak, Amine et al. | 2012
- 1287
-
A 9mW direct RF sampling GPS receiver front-end in 0.13µm BiCMOSBarth, Carsten / Linscott, Ivan R. / Inan, Umran S. et al. | 2012
- 1291
-
Low-power high-linearity area-efficient multi-mode GNSS RF receiver in 40nm CMOSLi, Jinbo / Chen, Dongpo / Guan, Rui / Qin, Peng / Lu, Zhijian / Zhou, Jianjun et al. | 2012
- 1295
-
A reconfigurable 60GHz subsampling receiver architecture with embedded channel filteringGrave, B. / Frappe, A. / Kaiser, A. et al. | 2012
- 1299
-
A time-to-digital converter based AFC for wideband frequency synthesizerHuang, Deping / Li, Wei / Zhou, Jin / Li, Ning / Ren, Junyan / Chen, Jinghong et al. | 2012
- 1303
-
Effects of quench discretization on superregenerative oscillatorsPala-Schonwalder, Pere / Bonct-Dalmau, Jordi / del Aguila-Lopez, Francisco / Sanahuja, Ricard / Moncunill-Geniz, F. Xavier et al. | 2012
- 1307
-
A digital-to-analog converter for a cortical microelectrode stimulatorMartins, Miguel A. / Santos, Miguel / Fernandes, Jorge R. / Piedade, Moises S. et al. | 2012
- 1311
-
A continuous-time level-crossing ADC with 1-bit DAC and 3-input comparatorLi, Yongjia / Serdijn, Wouter A. et al. | 2012
- 1315
-
A ΔΣ IR-UWB radar with sub-mm ranging capability for human body monitoring systemsZhang, Wei / Rhee, Woogeun / Wang, Zhihua et al. | 2012
- 1319
-
A low power 2.4 GHz front end with MEMS lattice based channel filtering at RFHeragu, Aravind / Ruffieux, David / Enz, Christian et al. | 2012
- 1323
-
Gait analysis for patients with Alzheimer'S disease using a triaxial accelerometerChung, Pau-Choo / Hsu, Yu-Liang / Wang, Chun-Yao / Lin, Chien-Wen / Wang, Jeen-Shing / Pai, Ming-Chyi et al. | 2012
- 1327
-
Tile-based GPU optimizations through ESL full system simulationHuang, Hsu-Yao / Huang, Chi-Yuan / Chen, Chung-Ho et al. | 2012
- 1331
-
A new 3-phase design exploration methodology for video processor designLo, Wing-Yee / Lun, Daniel P.K. / Siu, Wan-Chi et al. | 2012
- 1335
-
A smart stream controller for efficient implementation of streaming applications on the heterogeneous multicore processorOu, Shih-Hao / Yeh, Che-Wei / Lin, Tai-Jyi / Liu, Chih-Wei et al. | 2012
- 1339
-
FPGA implementation of heterogeneous multicore platform with SIMD/MIMD custom acceleratorsWaidyasooriya, Hasitha Muthumala / Takei, Yasuhiro / Hariyama, Masanori / Kameyama, Michitaka et al. | 2012
- 1343
-
A simulation-based study for DRAM power reduction strategies in GPGPUsChoi, Hyojin / Hwang, Kyuyeon / Ahn, Jaewoo / Sung, Wonyong et al. | 2012
- 1347
-
Cost-efficient decimal adder design in Quantum-dot cellular automataLiu, Weiqiang / Lu, Liang / O'Neill, Maire / Swartzlander, Earl E. et al. | 2012
- 1351
-
Novel asynchronous registers for sequential circuits with quantum-dot cellular automataKatti, Raj / Shrestha, Sarjan et al. | 2012
- 1359
-
A cryogenic single electron transistor readout circuit: Practical issues and measurement considerationsDas, Kushal / Lehmann, Torsten et al. | 2012
- 1363
-
Performance analysis of CNFET based circuits in the presence of fabrication imperfectionsChrzanowska-Jeske, Malgorzata / Ashraf, Rehman / Nain, Rajeev K. / Narendra, Siva G. et al. | 2012
- 1367
-
A low-power fast-settling bond-wire frequency synthesizer with a dynamic-bandwidth schemeZhao, Bo / Yang, Huazhong / Wang, Hui et al. | 2012
- 1371
-
A 5-10GHz low power bang-bang all digital PLL based on programmable digital loop filterSafwat, Sally / Lotfy, Amr / Ghoneima, Maged / Ismail, Yehea et al. | 2012
- 1375
-
Quadrature generation techniques in CMOS relaxation oscillatorsAniruddhan, Sankaran et al. | 2012
- 1379
-
A fast charge pump PLL using a bang-bang frequency comparator with dead zoneSadeghi, Vahideh Sadat / Miar Naimi, Hossein / Kennedy, Michael Peter et al. | 2012
- 1383
-
A transformer-based filtering technique to lower LC-oscillator phase noiseJin, Qing / Yang, Kaiyuan / Zhou, Chunyuan / Yang, Dongxu / Zhang, Lei / Wang, Yan / Yu, Zhiping / Geng, Weidong et al. | 2012
- 1387
-
Investigation of characteristics of tungsten oxide with different work pressures in photoelectrochromic cellChou, Jung-Chuan / Shih, Po-Hao / Yang, Shu-Ying et al. | 2012
- 1391
-
Bio-inspired gas recognition based on the organization of the olfactory pathwayAl Yamani, Jaber Hassan J / Boussaid, Farid / Bermak, Amine / Martinez, Dominique et al. | 2012
- 1395
-
A programmable mutual capacitance sensing circuit for a large-sized touch panelOuh, Hyun Kyu / Lee, Jungwoo / Han, Sangyun / Kim, Hyunjip / Yoon, Insik / Hong, Soonwon et al. | 2012
- 1399
-
A Hall sensor microsystem with continuous gain calibration using fully integrated referencesAjbl, Andrea / Pastre, Marc / Kayal, Maher et al. | 2012
- 1403
-
A new memristor based on NiTi smart alloysKyriakides, Evripides / Hadjistassou, Constantinos / Georgiou, Julius et al. | 2012
- 1407
-
Custom purpose regular expression processor architecture for network processingSezer, Sakir / Burns, Dwayne et al. | 2012
- 1412
-
Application-oriented SHA-256 hardware design for low-cost RFIDCao, Xiaolin / O'Neill, Maire et al. | 2012
- 1416
-
Content-dependent feature selection for block-based image steganalysisCho, Seongho / Gawecki, Martin / Kuo, C.-C. Jay et al. | 2012
- 1420
-
Dual video watermarking for CCL protection and manipulation detectionMoon, Sung-Won / Kim, Hee-Dong / Lee, Ji-won / Lee, Heung-Kyu et al. | 2012
- 1424
-
Secure medical information exchange with reversible data hidingHuang, Hsiang-Cheh / Fang, Wai-Chi / Lai, Wei-Hao et al. | 2012
- 1428
-
HDR-ARtiSt: High dynamic range advanced real-time imaging systemLapray, Pierre-Jean / Heyrman, Barthelemy / Rosse, Matthieu / Ginhac, Dominique et al. | 2012
- 1432
-
A parallel CAVLC design for 4096×2160p encoderZhong, Huibo / Fan, Yibo / Zeng, Xiaoyang et al. | 2012
- 1436
-
A high speed feature matching architecture for real-time video stabilizationHuang, Keng-Yen / Tsai, Yi-Min / Yang, Tien-Ju / Chen, Liang-Gee et al. | 2012
- 1440
-
A 775-µW/fps/view H.264/MVC decoder chip compliant with 3D Blu-ray specificationsJu, Chi-Cheng / Liu, Tsu-Ming / Chang, Yung-Chang / Wang, Chih-Ming / Chen, Chun-Chia / Lin, Hue-Min / Cheng, Chia-Yun / Chiu, Min-Hao / Wang, Sheng-Jen / Chao, Ping et al. | 2012
- 1444
-
Impact of process variations on computers used for image processingSindia, Suraj / Dai, Fa Foster / Agrawal, Vishwani D. / Singh, Virendra et al. | 2012
- 1448
-
Designing high-throughput hardware accelerator for stream cipher HC-128Chattopadhyay, Anupam / Khalid, Ayesha / Maitra, Subhamoy / Raizada, Shashwat et al. | 2012
- 1452
-
Integrated capacitor switchbox for security protectionMayhew, Matthew / Muresan, Radu et al. | 2012
- 1456
-
A high-performance elliptic curve cryptographic processor over GF(p) with SPA resistanceChung, Szu-Chi / Lee, Jen-Wei / Chang, Hsie-Chia / Lee, Chen-Yi et al. | 2012
- 1460
-
Current mode multiple-valued adder for cryptography processorsNovak, Ashley / Saffar, Farinoush / Mirhassani, Mitra / Wu, Huapeng et al. | 2012
- 1464
-
Extendable point-to-multi-point protocol processor for 10G-EPON MAC SoCsMiura, Naoki / Miyazaki, Akihiko / Kato, Junichi / Tanaka, Nobuyuki / Urano, Masami / Nakanishi, Mamoru / Shibata, Tsugumichi et al. | 2012
- 1468
-
Order reduction for Roesser state-space model based on elementary operationsYan, Shi / Xu, Li / Xiao, Yegui et al. | 2012
- 1472
-
Weight sorting based scheme and architecture for distributed particle filtersZheng, Ning / Pan, Yun / Yan, Xiaolang / Huan, Ruohong et al. | 2012
- 1476
-
CMOS implementation of a fast 4-2 compressor for parallel accumulationsFathi, Amir / Azizian, Sarkis / Hadidi, Khayrollah / Khoei, Abdollah / Chegeni, Amin et al. | 2012
- 1480
-
Efficient architectures for VLSI implementation of 2-D discrete Hadamard transformMohanty, Basant Kumar / Meher, Pramod Kumar / Singhal, Subodh Kumar et al. | 2012
- 1484
-
Error-free VLSI architecture for the 2-D Daubechies 4-tap filter using algebraic integersMadishetty, Shiva / Madanayake, Arjuna / Cintra, Renato J. / Mugler, Dale / Dimitrov, Vassil S. et al. | 2012
- 1488
-
Low-power and low-area CMOS quadrature RC oscillator with capacitive couplingCasaleiro, Joao / Oliveira, Luis B. / Filanovsky, Igor M. et al. | 2012
- 1492
-
A low-power RF front-end with merged LNA, differential power splitter, and quadrature mixer for IEEE 802.15.4 (ZigBee) applicationsLee, Shuenn-Yuh / Wang, Liang-Hung / Chen, Tsung-Yen / Yu, Chih-Tao et al. | 2012
- 1496
-
A 3µW fully-differential RF envelope detector for ultra-low power receiversvan Liempd, Barend / Vidojkovic, Maja / Lont, Maarten / Zhou, Cui / Harpe, Pieter / Milosevic, Dusan / Dolmans, Guido et al. | 2012
- 1500
-
A 115µW UWB Programmable Gain Amplifier for intelligent tire personal area networkDe Matteis, M. / Cocciolo, G. / D'Amico, S. / Baschirotto, A. / Sabatini, M. et al. | 2012
- 1504
-
A 3.3 µW dual-modulus frequency divider with 189% locking range for MICS band applicationsJahan, M. Shahriar / Holleman, Jeremy H. et al. | 2012
- 1508
-
An efficient QR decomposition design for MIMO systemsLin, Jing-Shiun / Hwang, Yin-Tsung / Chu, Po-Han / Shieh, Ming-Der / Fang, Shih-Hao et al. | 2012
- 1512
-
A synchronization scheme based on Gaussian pulses for cooperative MIMO OFDM systemsWang, Chin-Liang / Chen, Ying-Yi / Wang, Hung-Chin et al. | 2012
- 1516
-
An improved coarse synchronization scheme in 3GPP LTE downlink OFDM systemsDing, Na / Chen, Chen / Fan, Wenhua / Chen, Yun / Zeng, Xiaoyang et al. | 2012
- 1520
-
Low complexity FFT/IFFT processor for high-speed OFDM system using efficient multiplier schedulingLee, Jea Hack / Kim, Eun Ji / Sunwoo, Myung Hoon et al. | 2012
- 1524
-
An SFBC-OFDM receiver with MLSE equalizer to combat multiple frequency offsetsLee, Jyun-Yu / Lin, Hsin-De / Sang, Tzu-Hsien et al. | 2012
- 1528
-
An axon emulator for evaluation of nerve recording systemsRieger, Robert / Chen, Jing-Yuan et al. | 2012
- 1532
-
NeuroBetaMed: A re-configurable wavelet-based event detection circuit for in vitro biological signalsQuotb, Adam / Bornat, Yannick / Raoux, Matthieu / Lang, Jochen / Renaud, Sylvie et al. | 2012
- 1536
-
A blink restoration system with contralateral EMG triggered stimulation and real-time software based artifact blankingJia, Jun / Yi, Xin / Wang, Mengde / Wang, Guoxing / Deng, Simin / Shen, Guofang et al. | 2012
- 1540
-
A 2.1µW real-time reconfigurable wearable BAN controller with dual linked list structureLee, Seulki / Roh, Taehwan / Hong, Sunjoo / Yoo, Hoi-Jun et al. | 2012
- 1544
-
A CMOS architecture allowing parallel DNA comparison for on-chip assemblyHu, Yuanqi / Liu, Yan / Toumazou, Christofer / Georgiou, Pantelis et al. | 2012
- 1548
-
Joint rate-distortion optimization for H.264/AVC intra coding based on cluster computingXiao, Wei / Xu, Jizheng / Wu, Feng / Shi, Guangming et al. | 2012
- 1552
-
Base-layer motion estimation with limited enhancement-layer search window for hardware H.264/SVC encoderKwon, Do-Kyoung / Kim, Hyung J. et al. | 2012
- 1556
-
Data reusable search scan methods for low power motion estimationKim, Sung Dae / Baek, Jin Wook / Burm, Jin Wook / Sunwoo, Myung Hoon et al. | 2012
- 1560
-
Fast sub-pixel motion estimation with simplified modeling in HEVCDai, Wei / Au, Oscar C. / Li, Sijin / Sun, Lin / Zou, Ruobing et al. | 2012
- 1564
-
Mode dependent deblocking filter for video codingWu, Qingbo / Li, Hongliang et al. | 2012
- 1568
-
A framework to study time-dependent variability in circuits at sub-35nm technology nodesTang, Tong Boon / Murray, Alan F. / Cheng, Binjie / Asenov, Asen et al. | 2012
- 1572
-
Soft error tolerant latch design with low cost for nanoelectronic systemsNan, Haiqing / Choi, Ken et al. | 2012
- 1576
-
A simple keeper topology to reduce delay variations in nanometer domino logicAlioto, Massimo / Palumbo, Gaetano / Pennisi, Melita et al. | 2012
- 1580
-
Design of ring oscillator structures for measuring isolated NBTI and PBTIKim, Tony T. / Lu, Pong-Fei / Kim, Chris H. et al. | 2012
- 1584
-
Modeling and characterization of CNT-based TSV for high frequency applicationsKannan, Sukeshwar / Kim, Bruce / Gupta, Anurag / Noh, Seok-Ho / Li, Li / Cho, Sang-Bock et al. | 2012
- 1590
-
Memristors and memristive circuits - an overviewTetzlaff, Ronald / Schmidt, Torsten et al. | 2012
- 1596
-
Recent progress in redox-based resistive switchingWaser, Rainer / Menzel, Stephan / Rana, Vikas et al. | 2012
- 1600
-
Modeling dynamics of memristive nano-structuresCorinto, Fernando / Ascoli, Alon / Gilli, Marco et al. | 2012
- 1604
-
Memristor circuit for artificial synaptic weighting of pulse inputsSah, Maheshwar Pd. / Yang, Changju / Kim, Hyongsuk / Chua, Leon O et al. | 2012
- 1608
-
Memristive computing- multiplication and correlationShin, Sangho / Kim, Kyungmin / Kang, Sung-Mo et al. | 2012
- 1612
-
A real-time motion-feature-extraction image processor employing digital-pixel-sensor-based parallel architectureZhu, Hongbo / Shibata, Tadashi et al. | 2012
- 1616
-
A 148dB focal-plane tone-mapping QCIF imagerVargas-Sierra, S. / Linan-Cembrano, G. / Rodriguez-Vazquez, A. et al. | 2012
- 1620
-
New FPN correction method for PD-storage dual-capture CMOS image sensor using a nonfully depleted pinned photodiodeLee, Jiwon / Baek, Inkyu / Yang, Kyounghoon et al. | 2012
- 1624
-
A Time-Delay-Integration CMOS image sensor with pipelined charge transfer architectureYu, Hang / Qian, Xinyuan / Chen, Shoushun / Low, Kay Soon et al. | 2012
- 1628
-
A hybrid-readout and dynamic-resolution motion detection image sensor for object trackingZhang, Xiangyu / Chen, Shoushun et al. | 2012
- 1632
-
Complex network approach to communication network performance analysisWu, Jiajing / Tse, Chi K. / Lau, Francis C.M. / Ho, Ivan W.H. et al. | 2012
- 1636
-
Contingency constrained optimal power flow solutions in complex network power gridsAlzalg, Baha / Anghel, Catalina / Gan, Wenying / Huang, Qing / Rahman, Mustazee / Shum, Alex / Wu, Chai Wah et al. | 2012
- 1640
-
On adaptive bounded synchronization in Power Network modelsDeLellis, P. / di Bernardo, M. et al. | 2012
- 1644
-
RED-f routing protocol for complex networksThong, Wilson Wang-Kit / Chen, Guanrong / Trajkovic, Ljiljana et al. | 2012
- 1648
-
An output tracking delay-recycled clock skew-compensation and/or duty-cycle-correction circuitWei, Shih-Nung / Wang, Yi-Ming / Peng, Jyun-Hua et al. | 2012
- 1652
-
A chip-to-chip clock-deskewing circuit for 3-D ICsChuang, Ai-Jia / Lee, Yu / Yang, Ching-Yuan et al. | 2012
- 1656
-
Energy metrics for power efficient crosslink and mesh topologiesVaisband, Inna / Friedman, Eby G. / Ginosar, Ran / Kolodny, Avinoam et al. | 2012
- 1660
-
A 16Gbps low power self-timed SerDes transceiver for multi-core communicationHussein, Ezz El-Din / Safwat, Sally / Ghoneima, Maged / Ismail, Yehea et al. | 2012
- 1664
-
Reliable and low-power clock distribution using pre- and post-silicon delay adaptation in high-level synthesisInoue, Keisuke / Kaneko, Mineo et al. | 2012
- 1668
-
A novel BMNoC configuration algorithm utilizing communication volume and locality among coresLee, Seungju / Togawa, Nozomu / Aoki, Takashi / Onozawa, Akira et al. | 2012
- 1672
-
Transient error management for partially adaptive router in network-on-chip (NoC)Yu, Qiaoyan / Ampadu, Paul et al. | 2012
- 1676
-
A novel feature extraction algorithm for classification of bird flight callsBastas, Selin / Wadood Majid, Mohammad / Mirzaei, Golrokh / Ross, Jeremy / Jamali, Mohsin M. / Gorsevski, Peter V. / Frizado, Joseph / Bingman, Verner P. et al. | 2012
- 1680
-
VLSI implementation of color interpolation in color difference spacesChen, Hongming / Cheng, Yuhua et al. | 2012
- 1684
-
Low-complexity pruning for accelerating corner detectionWu, Meiqing / Ramakrishnan, Nirmala / Lam, Siew-Kei / Srikanthan, Thambipillai et al. | 2012
- 1688
-
Image super-resolution via dual-dictionary learning and sparse representationZhang, Jian / Zhao, Chen / Xiong, Ruiqin / Ma, Siwei / Zhao, Debin et al. | 2012
- 1692
-
A visually-lossless data hiding method based on histogram modificationFujiyoshi, Masaaki / Kiya, Hitoshi et al. | 2012
- 1696
-
Improving CCA via spectral components selection for facial expression recognitionZhou, Xiaoyan / Zheng, Wenming / Xin, Minghai et al. | 2012
- 1700
-
An ASIC design for 3D depth control of full HD resolution stereoscopic videoYang, Jeong-Hyu / Im, Jinseok / Lim, Kyoungwon / Choi, Seung-Jong et al. | 2012
- 1704
-
A 6.24-Gb/s wide-input-range serializer ASIC using fixed-data-rate schemePark, Kang-Yeob / Choi, Woo-Young / Lee, Seon-Young / Oh, Won-Seok et al. | 2012
- 1712
-
Quality of experience assessment for stereoscopic imagesQi, Feng / Jiang, Tingting / Ma, Siwei / Zhao, Debin et al. | 2012
- 1716
-
Impact of encoding configurations on the perceived quality of high definition videoconference sequencesCiancio, Alexandre / de Oliveira, Jose F. L. / Estrada, Cassius D. / da Silva, Eduardo A. B. / Said, Amir et al. | 2012
- 1720
-
Efficient improvement of side information in GOB-based DVC systemWu, Tsung-Che / Hsu, Ji-Hua / Lee, Chang-Ming / Chiang, Jui-Chiu et al. | 2012
- 1724
-
Analysis and design for text readability increase in quad-structure RGBW color EPDKwon, Kyung Joon / Lee, Sung Kyu / Kim, Sanghun / Cho, Su Yeong / Kim, Young Hwan et al. | 2012
- 1728
-
10Gbit/s 2mW inductorless transimpedance amplifierAtef, Mohamed / Zimmermann, Horst et al. | 2012
- 1732
-
A 1-V CMOS receiver front-end for high-speed SI-POF linksGimeno, C. / Aldea, C. / Celma, S. / Aznar, F. / Azcona, C. et al. | 2012
- 1736
-
A 40 Gbps optical receiver analog front-end in 65 nm CMOSChou, Shun-Tien / Huang, Shih-Hao / Hong, Zheng-Hao / Chen, Wei-Zen et al. | 2012
- 1740
-
2.5Gbit/s transimpedance amplifier using noise cancelling for optical receiversAtef, Mohamed / Zimmermann, Horst et al. | 2012
- 1748
-
A novel high rate transmission scheme for space time coding with low decoding complexityYan, Yier / Jiang, Xueqin / Jun, Li / Wei, Duan / Shin, Tae Chol / Lee, Moon Ho et al. | 2012
- 1752
-
Wide tuning range CMOS LC quadrature oscillators based on quadrature mode switchingBagheri, Mahdi / Bagheri, Rahim / Larson, Lawrence E. et al. | 2012
- 1756
-
Remedies for noise degradation due to active Q-Enhancement CircuitNoori, Hossein / Dai, Fa Foster et al. | 2012
- 1760
-
Design of 13.56 MHz ASK transmitter for near field communication using a DLL architecturePark, Sangyong / Park, Sungmoon / Park, Joonhong / Baek, Donghyun et al. | 2012
- 1763
-
An improved analysis and design methodology for RF Class-E power amplifiers with finite DC-feed inductance and switch On-resistanceChakrabarti, Anandaroop / Krishnaswamy, Harish et al. | 2012
- 1767
-
Modified shuffled schedule for nonbinary low-density parity-check codesLin, Jun / Yan, Zhiyuan et al. | 2012
- 1771
-
A novel method of constructing Quasi-Cyclic RS-LDPC codes for 10GBASE-T EthernetHwang, Seong-In / Lee, Hanho / Lim, Shin-Il et al. | 2012
- 1775
-
Extrinsic data compression method for double-binary turbo codesOu-Yang, Yi-Huan / Kao, Chien-Yu / Hsu, Jen-Yuan / Ting, Pang-An / Lee, Chen-Yi et al. | 2012
- 1779
-
Design of TETRA 2 turbo decoder with minimum memory hardware interleaverKim, Ji-Hoon et al. | 2012
- 1783
-
Concatenated non-binary LDPC and HD-FEC codes for 100Gb/s optical transport systemsChoi, Chang-Seok / Lee, Hanho / Kaneda, Noriaki / Chen, Young-Kai et al. | 2012
- 1787
-
Design of security enhanced TPM chip against invasive physical attacksChoi, Piljoo / Kim, Dong Kyue et al. | 2012
- 1791
-
Modified polynomial selection architecture for low-complexity chase decoding of Reed-Solomon codesWang, Hao / Zhang, Wei / Pan, Boyang et al. | 2012
- 1795
-
Stream-access-oriented baseband signal processors for SDRTakeuchi, Toshiki / Igura, Hiroyuki / Ikekawa, Masao et al. | 2012
- 1799
-
Mapping channel estimation and MIMO detection in LTE-advanced on a reconfigurable cell arrayZhang, Chenxin / Liu, Liang / Owall, Viktor et al. | 2012
- 1803
-
A fast-lock-in wide-range harmonic-free all-digital DLL with a complementary delay lineChen, Shuai / Li, Hao / Jia, Kai / Wang, Yue / Shi, Xiaobing / Zhang, Feng et al. | 2012
- 1807
-
Ultra-low power transmitterGhasempour, Mohsen / Shang, Delong / Xia, Fei / Yakovlev, Alex et al. | 2012
- 1811
-
A novel peripheral circuit for RRAM-based LUTChen, Yi-Chung / Li, Hai / Zhang, Wei et al. | 2012
- 1815
-
Generic virtual filesystems for reconfigurable devicesKrill, Benjamin / Amira, Abbes / Rabah, Hassan et al. | 2012
- 1819
-
A comparative study on asynchronous Quasi-Delay-Insensitive templatesChang, Kok-Leong / Lin, Tong / Ho, Weng-Geng / Chong, Kwen-Siong / Gwee, Bah-Hwee / Chang, Joseph S. et al. | 2012
- 1823
-
State space modeling for sub-threshold SRAM stability analysisMezhibovsky, Janna / Teman, Adam / Fish, Alexander et al. | 2012
- 1827
-
A low-cost low-power non-volatile memory for RFID applicationsDagan, Hadar / Teman, Adam / Fish, Alexander / Pikhay, Evgeny / Dayan, Vladislav / Roizin, Yakov et al. | 2012
- 1831
-
High-performance 0.6V VMIN 55nm 1.0Mb 6T SRAM with adaptive BL bleederYang, Hao-I / Lin, Yi-Wei / Hsia, Mao-Chih / Lin, Geng-Cing / Chang, Chi-Shin / Chen, Yin-Nien / Chuang, Ching-Te / Hwang, Wei / Jou, Shyh-Jye / Lien, Nan-Chun et al. | 2012
- 1835
-
An Ultra-Dynamic Voltage Scalable (U-DVS) 10T SRAM with bit-interleaving capabilityChen, Junchao / Chong, Kwen-Siong / Gwee, Bah-Hwee / Chang, Joseph S. et al. | 2012
- 1839
-
Analysis of propagation delay in 3 - D stacked DRAMKannan, Sukeshwar / Kim, Bruce / Cho, Sang-Bock / Ahn, Byoungchul et al. | 2012
- 1843
-
Online surveillance video synopsisHuang, Chun-Rong / Chen, Hsing-Cheng / Chung, Pau-Choo et al. | 2012
- 1847
-
Constrained multiple kernel tracking for human limbsKe, Shian-Ru / Hwang, Jenq-Neng / Fazel, Maryam / Wang, Shen-Zheng / Pai, Hung-I et al. | 2012
- 1851
-
Mixed Gaussian-impulse video noise removal via temporal-spatial decompositionWang, Zhangyang / Li, Houqiang / Ling, Qing / Li, Weiping et al. | 2012
- 1855
-
Gradient based interpolation for division of focal plane polarization imaging sensorsGao, Shengkui / Gruev, Viktor et al. | 2012
- 1859
-
Vehicle color classification under different lighting conditions through color correctionHsieh, Jun-Wei / Chen, Li-Chih / Chen, Sin-Yu / Lin, Shih-Chun / Chen, Duan Yu et al. | 2012
- 1863
-
3D human pose tracking based on depth camera and dynamic programming optimizationLie, Wen-Nung / Shiu, Hung-Wei / Huang, Chieh et al. | 2012
- 1867
-
Hierarchical Bayer-pattern based background subtraction for low resource devicesShoaib, Muhammad / Elbrandt, Tobias / Zaretskiy, Evgeny / Ostermann, Joern et al. | 2012
- 1871
-
Self-learning-based rain streak removal for image/videoKang, Li-Wei / Lin, Chia-Wen / Lin, Che-Tsung / Lin, Yu-Chen et al. | 2012
- 1875
-
A perceptual based contrast enhancement metric using AdaBoostGibson, Kristofor B. / Nguyen, Truong Q. et al. | 2012
- 1879
-
Video organization: Near-Duplicate Video clusteringHung, Tzu-Yi / Zhu, Ce / Yang, Gao / Tan, Yap-Peng et al. | 2012
- 1883
-
Depth estimation and view synthesis for narrow-baseline videoZhang, Qian / Cui, Chun Hui / Ngan, King Ngi / Liu, Yu et al. | 2012
- 1887
-
Optimized bit extraction of SVC exploiting linear error modelZhang, Wenyao / Sun, Jun / Liu, Jiaying / Guo, Zongming et al. | 2012
- 1891
-
Stereo matching with pixel classification and reliable disparity propagationWang, Weichen / Goto, Satoshi et al. | 2012
- 1895
-
Non-Delaunay hierarchical mesh-based motion estimation and compensation for Wavelet Video codingKim, Miok / Ling, Nam / Ralston, John D. / Saunders, Steven E. et al. | 2012
- 1899
-
Novel rate-distortion modeling for H.264/AVC and its application in two-pass VBR codingDuan, Yizhou / Sun, Jun / Guo, Zongming et al. | 2012
- 1903
-
Analytical mode-dependent rate and distortion models for H.264/SVC coarse grain scalabilityWu, Chung-Hao / Tseng, Yu-Chen / Peng, Wen-Hsiao et al. | 2012
- 1907
-
Design and optimization of two motion detection circuits for video monitoring systemZhang, Ming / Llaser, Nicolas / Mathias, Herve / Dupret, Antoine et al. | 2012
- 1911
-
Real-time, color image barrel distortion removalBlasinski, Henryk / Hai, Wei / Lohier, Frantz et al. | 2012
- 1915
-
A hardware sharing architecture of deblocking filter for VP8 and H.264/AVC video codingChou, Yu-Lin / Wu, Chung-Bin et al. | 2012
- 1919
-
A high throughput CAVLC design for HEVCChen, Hsuan-ku / Chang, Tian-Sheuan et al. | 2012
- 1923
-
Universal embedded compression engine for LCD TV system-on-a-chip with Band-Expansion Progressive Wavelet CodingHuang, Keng-Hsien / Chien, Shao-Yi et al. | 2012
- 1927
-
A 0.8V 4.3mW sub-harmonic mixer for ultra-wideband systemsZeng, Ming-Jhe / Weng, Ro-Min et al. | 2012
- 1931
-
A 5.9mW full-band low-noise-amplifier for ultra-wideband systemsWeng, Ro-Min / Fan, Mei-Lian / Zeng, Ming-Jhe et al. | 2012
- 1935
-
Design of a PSWF impulse response filter for UWB systemsNeves, Leonardo C. / de Araujo, Genival M. / da Costa, Jose C. / Haddad, Sandro A. P. et al. | 2012
- 1939
-
Improving the coverage of ultra wideband impulse radio by pulse compressionKolumban, Geza / Krebesz, Tamas / Tse, Chi K. / Lau, Francis C. M. et al. | 2012
- 1943
-
A 1.5–7.5GHz low power low noise amplifier (LNA) design using subthreshold technique for Wireless Sensor Network (WSN) applicationAravinth Kumar, A R / Dutta, Ashudeb / Singh, Shiv Govind et al. | 2012
- 1947
-
A 10 Gb/s adaptive cable equalizer using phase detection technique in 0.13µm CMOS technologyChen, Kuang-Ren / Tsai, Chia-Ming / You, Sheng-Kai / Li, An-Siou / Chen, Wen-Tsao et al. | 2012
- 1951
-
HDMI transmitter in 32nM technology using 28Å MOSGupta, Nitin / Nandy, Tapas / Kundu, Somnath et al. | 2012
- 1955
-
0.37mW/Gb/s low power SLVS transmitter for battery powered applicationsJeong, Youngkyun / Choi, Yoon-Chul / Choi, Eun-Ji / Ham, Seogheon / Kwon, Kee-Won / Jun, Young-Hyun / Chun, Jung-Hoon et al. | 2012
- 1959
-
A 5.4Gb/s adaptive equalizer with unit pulse charging technique in 0.13µm CMOSHwang, Sewook / Jung, Inhwa / Song, Junyoung / Kim, Chulwoo et al. | 2012
- 1963
-
A 6Gb/s adaptive equalizer using overshoot control in 0.18µm CMOS technologyNee, Hsu-Che / Tsai, Chia-Ming / You, Sheng-Kai / Chen, Wen-Tsao et al. | 2012
- 1967
-
An ultra low-power low-voltage class AB CMOS fully differential OpAmpValero, M. R. / Celma, S. / Medrano, N. / Calvo, B. / Azcona, C. et al. | 2012
- 1971
-
High-speed simulator including accurate MTJ models for spintronics integrated circuit designSakimura, Noboru / Nebashi, Ryusuke / Tsuji, Yukihide / Honjo, Hiroaki / Sugibayashi, Tadahiko / Koike, Hiroki / Ohsawa, Takashi / Fukami, Shunsuke / Hanyu, Takahiro / Ohno, Hideo et al. | 2012
- 1975
-
A low-quiescent current two-input/output buffer amplifier for LCDsLu, Chih-Wen / Yin, Ping-Yeh / Kuo, Hsuan-Lun / Pennisi, Salvatore et al. | 2012
- 1979
-
An analytical study of a magnetically tuned matching networkBrown, Jeremy L. / Neihart, Nathan M. et al. | 2012
- 1983
-
Propagating analog signals through a fully digital network on an electronic system prototyping platformAl-Terkawi Hasib, Omar / Andre, Walder / Blaquiere, Yves / Savaria, Yvon et al. | 2012
- 1987
-
A novel rail-to-rail differential voltage-to-frequency converter for portable sensing systemsAzcona, C. / Calvo, B. / Celma, S. / Medrano, N. et al. | 2012
- 1991
-
A digital over-temperature protector for FlexRay systemsChen, Chih-Lin / Lin, Sheng-Chih / Wang, Chua-Chin / Juan, Chun-Ying et al. | 2012
- 1995
-
A low-power two-line inversion method for driving LCD panelsChoi, Sung-Pil / Hwang, Gyoo-Cheol / Jun, Young-Hyun / Kwon, Kee-Won / Chun, Jung-Hoon et al. | 2012
- 1999
-
A 0.001mm2 100µW on-chip temperature sensor with ±1.95 °C (3σ) Inaccuracy in 32nm SOI CMOSChowdhury, Golam R. / Hassibi, Arjang et al. | 2012
- 2003
-
Low power multi-channel capacitive touch sensing unit using capacitor to time conversion methodPark, HyungGu / Kim, HongJin / Lee, JooHyung / Lee, Kang-Yoon / Chung, Jin-Gyun et al. | 2012
- 2007
-
A 11 µW 0°C–160°C temperature sensor in 90 nm CMOS for adaptive thermal monitoring of VLSI circuitsZjajo, Amir / van der Meijs, Nick / van Leuken, Rene et al. | 2012