An introduction to assertion-based verification (Englisch)
- Neue Suche nach: Tao, Yunfeng
- Neue Suche nach: Tao, Yunfeng
In:
2009 IEEE 8th International Conference on ASIC
;
1318-1323
;
2009
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:An introduction to assertion-based verification
-
Beteiligte:Tao, Yunfeng ( Autor:in )
-
Erschienen in:2009 IEEE 8th International Conference on ASIC ; 1318-1323
-
Verlag:
- Neue Suche nach: IEEE
-
Erscheinungsdatum:01.10.2009
-
Format / Umfang:1556045 byte
-
ISBN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Terahertz CMOS circuit design and applications for ultra-high data rate (≫100Gbps) communicationChang, Mau-Chung Frank et al. | 2009
- 1
-
A LUT-based VRC model for random logic function evolutionHaixiang Bu, / Liguang Chen, / Jinmei Lai, et al. | 2009
- 1
-
Title pages| 2009
- 3
-
How to achieve ultra low power video processing?Goto, Satoshi et al. | 2009
- 4
-
Future directions for RF IC design in silicon microelectronic technologiesLong, J.R. et al. | 2009
- 5
-
Silicon-on-Clothes (SoC) and its healthcare applicationsYoo, Hoi-Jun et al. | 2009
- 7
-
Advancing Moore's law: Challenges and opportunitiesPeng Bai, et al. | 2009
- 9
-
Designer's Issues in 3D ICKyung, Chong-Min et al. | 2009
- 10
-
Assertion-Based VerificationYunshan Zhu, et al. | 2009
- 11
-
High speed DDR memory interface designZhao, Brian et al. | 2009
- 12
-
Maximizing Lithium battery life safely and inexpensively when charging portable devicesShiyan Pei, et al. | 2009
- 13
-
Scan design and DFT practicesLinming Jin, et al. | 2009
- 14
-
Introduction to SystemVerilog and SystemCSobelman, Gerald E. / Xiaofang Zhou, et al. | 2009
- 15
-
Introduction to C-based high level synthesisJianwen Zhu, et al. | 2009
- 16
-
ASIP (Application Specific Instruction-set Processors) designLiu, Dake et al. | 2009
- 17
-
Low power design of vlsi circuits and systemsZhao, Peiyi / Wang, Zhongfeng et al. | 2009
- 21
-
A multi-channel, area-efficient, audio sampling rate interpolatorHaipeng Kuang, / Dejiang Wang, / Gang Zhou, / Zhengping Xu, et al. | 2009
- 25
-
Low-power MCML circuit with sleep-transistorKim, Jeong Beom et al. | 2009
- 29
-
Architecture design of variable lengths instructions expansion for VLIWYuan Liu, / Hu He, / Teng Xu, et al. | 2009
- 33
-
A systolic architecture with linear space complexity for longest common subsequence problemChuanpeng Chen, / Zhongping Qin, et al. | 2009
- 37
-
SFG realization of wavelet filter using switched-current circuitsZhao, Wenshan / He, Yigang / Sun, Yichuang et al. | 2009
- 41
-
Design of a high reliable L1 data cache with redundant cacheZhaolin Li, / Xinyue Zhang, / Huiqing Luo, et al. | 2009
- 46
-
Switching activity calculation of VLSI addersBaran, Dursun / Aktan, Mustafa / Karimiyan, Hossein / Oklobdzija, Vojin G. et al. | 2009
- 50
-
A precise SystemC-AMS model for Charge Pump Phase Lock Loop with multiphase outputsXu, Tao / Lincklaen Arriens, Huib / van Leuken, Rene / de Graaf, Alexander et al. | 2009
- 54
-
A 2.84W 16port Switch ASIC for high performance computing systemsShen, Hua / Ding-shan You, / Like Liu, / Jia Yang, / Xiao-xiao Jiang, et al. | 2009
- 58
-
Design of multi-valued double-edge-triggered JK flip-flop based on neuron MOS transistorYuejun Zhang, / Pengjun Wang, et al. | 2009
- 62
-
Trends of terascale computing Chips in the next ten yearsLu, Zhonghai / Jantsch, Axel et al. | 2009
- 67
-
A high power efficiency reconfigurable processor for multimedia processingPeng Dai, / Xin'an Wang, / Xing Zhang, / Qiuqi Zhao, / Yan Zhou, / Yachun Sun, et al. | 2009
- 71
-
DReNoC: A dynamically reconfigurable computing system based on network-on-chipYing-Chun Chen, / Gao-Ming Du, / Luo-Feng Geng, / Duo-Li Zhang, / Ming-Lun Gao, et al. | 2009
- 75
-
An efficient parallel instruction execution method for VLIW DSPMengjun Sun, / Zheng Shen, / Hu He, et al. | 2009
- 79
-
Dynamic context management for coarse-grained reconfigurable array DSP architectureYanliang Liu, / Peng Dai, / Xin'an Wang, / Xing Zhang, / Lai Wei, / Yan Zhou, / Yachun Sun, et al. | 2009
- 83
-
A reconfigurable architecture specific for the butterfly computingJing Xie, / Kai Fan, / Zhigang Mao, / Qin Wang, / Chao Yang, / Wen Zhu, / Suliang Wang, et al. | 2009
- 87
-
Optimal-Partition Based code compression for embedded processorLei Yang, / Tiejun Zhang, / Donghui Wang, / Chaohuan Hou, et al. | 2009
- 91
-
T2- TAM:Reusing infrastructure resource to provide parallel testing for NoC based ChipBinzhang Fu, / Yinhe Han, / Huawei Li, / Xiaowei Li, et al. | 2009
- 97
-
Transaction level model of NoC based on SystemCJian Wang, / Hong Wang, / Zhi jia Yang, et al. | 2009
- 101
-
An energy-aware heuristic constructive mapping algorithm for Network on ChipYancang Chen, / Lunguo Xie, / Jinwen Li, et al. | 2009
- 105
-
Speedup analysis of data-parallel applications on Multi-core NoCsXiaowen Chen, / Zhonghai Lu, / Jantsch, Axel / Shuming Chen, et al. | 2009
- 109
-
Uniform routing architecture for FPGA with embedded IP coresLiyun Wang, / Yuan Wang, / Liguang Chen, / Jian Wang, / Xing Chen, / Fang Wu, / Jinmei Lai, / Jiarong Tong, et al. | 2009
- 113
-
Mixed optimization method in design of FC-2Jie Jin, / Xiaoxin Cui, / Dunshan Yu, et al. | 2009
- 122
-
Partially reconfigurable interconnection network for dynamically reprogrammable resource arrayShami, Muhammad Ali / Hemani, Ahmed et al. | 2009
- 126
-
Fast configuration architecture of FPGA suitable for bitstream compressionXie Jing, / Wang Yabin, / Chen Liguang, / Wang Jian, / Wang Yuan, / Lai Jinmei, / Tong Jiarong, et al. | 2009
- 131
-
VLSI implementation of high-speed SHA-256Ling Bai, / Shuguo Li, et al. | 2009
- 135
-
Low-cost reconfigurable VLSI implementation of the SMS4 and AES algorithmsWeiwei Yan, / Kaidi You, / Jun Han, / Xiaoyang Zeng, et al. | 2009
- 139
-
The simulation model and ASIC implementation of a random bit source circuitYanfang Wang, / Haibin Shen, et al. | 2009
- 143
-
HMF unit: A key element in dynamic dataflow ASICYu Bo, / Li Xiangyu, / Sun Yihe, et al. | 2009
- 147
-
VLSI architecture of a low complexity face detection algorithm for real-time video encodingZhang, Tianruo / Minghui Wang, / Chen Liu, / Goto, Satoshi et al. | 2009
- 151
-
Unified low cost crypto architecture accelerating RSA/SHA-1 for security processorWei Huang, / Kaidi You, / Suiyu Zhang, / Han, Jun / Zeng, Xiaoyang et al. | 2009
- 155
-
The research and design of parallel instruction targeted at substitution boxZibin Dai, / Wei Li, / Tao Meng, / Tao Chen, et al. | 2009
- 159
-
Design and FPGA implementation of 3DES against Power Analysis Attacks for IC bankcardXiuyuan Bi, / Liji Wu, / Guoqiang Bai, et al. | 2009
- 163
-
Analysis of adaptive algorithm to power aware design for H.264/AVC integer motion estimation engine in HDTV applicationHuang, Yiqing / Ikenaga, Takeshi et al. | 2009
- 167
-
A fully pipelined CABAC coder using syntax element instructions drivingShenggang Chen, / Shuming Chen, / Xi Ning, et al. | 2009
- 171
-
Pre-processor of the region-of-interest based H.264 encoder for low power applicationWang, Minghui / Zhang, Tianruo / Goto, Satoshi et al. | 2009
- 175
-
A novel VLSI architecture of lum interpolator of H.264 decoderZhang, Duo-Li / Cheng, Xian-Wen / Du, Gao-Ming / Song, Yu-Kun / Gao, Ming-Lun et al. | 2009
- 179
-
A Comparative Study of subword parallel adders for multimedia applicationsMa, Sheng / Huang, Libo / Lai, Mingce / Wang, Zhiying et al. | 2009
- 183
-
Parallel enhanced low design effort H.264/AVC fractional motion estimation engine for Super Hi-Vision applicationHuang, Yiqing / Ikenaga, Takeshi et al. | 2009
- 187
-
Three images blending engine supporting multicolor formats, various color depths with small-gate size and high-quality image for SOC designThang Minh Le, / Akie, Kazushi / Hori, Toyokazu / Hatae, Hiroshi / Watanabe, Hiromi et al. | 2009
- 191
-
A reconfigurable architecture for DWT and IDWT in JPEG2000Hong qi, / Wang kanwen, / Cao wei, / Tong jiarong, et al. | 2009
- 195
-
A single channel 2GS/s 6-bit ADC with cascade resistive averagingYoutao Zhang, / Xiaopeng Li, / Ao Liu, / Ming Zhang, / Feng Qian, et al. | 2009
- 199
-
A 600-msample/s, 25-mW, 6-bit folding and interpolating ADC in 0.13 micrometer CMOSLin, Li / Ren, Junyan / Ye, Fan et al. | 2009
- 199
-
A 600-msample/s, 25-mW, 6-bit folding and interpolating ADC in 0.13µm CMOSLi Lin, / Junyan Ren, / Fan Ye, et al. | 2009
- 207
-
A 1-V 32-µW 13-bit CMOS Sigma-Delta A/D converter for biomedical applicationsMuthusamy, Kunalan s/o / Hui Teo, T. / Yong Ping Xu, et al. | 2009
- 211
-
An undersampling 14-bit cyclic ADCWeitao Li, / Fule Li, / Dandan Guo, / Chun Zhang, / Zhihua Wang, et al. | 2009
- 215
-
A 3.3mw 91dB SNR sigma-delta modulator in 0.18μm CMOS processChen Yueyang, / Zhong Shun'an, / Dang Hua, et al. | 2009
- 218
-
High speed and low power ADC design with dynamic analog circuitsMatsuzawa, Akira et al. | 2009
- 222
-
A novel digital calibration with low complexity for pipelined ADCKaihui Lin, / Long Cheng, / Lei Luo, / Fan Ye, / Junyan Ren, et al. | 2009
- 226
-
3.4GS/s 3 bit phase digitizing ADC and DAC for DRFMMin Zhang, / Youtao Zhang, / Xiaopeng Li, / Ao Liu, / Feng Qian, et al. | 2009
- 230
-
Study of Q factor and loop delay effects of a continuous-time Δ Σ AD modulatorLin, Haijun / Motozawa, Atushi / Lo Re, Pascal / Iizuka, Kunihiko / Kobayashi, Haruo / San, Hao et al. | 2009
- 234
-
A 14-bit successive-approximation AD converter with digital calibration algorithmHe Yong, / Wu Wuchen, / Meng Hao, / Zhou Zhonghua, et al. | 2009
- 238
-
A bidirectional overflow digital correction algorithm with a single bit redundancy used in the pipeline A/D convertersTing Li, / Yuxin Wang, / Ruzhang Li, / Kaicheng Li, et al. | 2009
- 242
-
A mixed-signal calibration technology for the pipeline A/D converterLiang, Shang-Quan / Yin, Yong-Sheng / Deng, Hong-Hui / Wang, Xiao-Lei / Gao, Ming-Lun et al. | 2009
- 246
-
A low noise class-AB amplifier for voice communicationJiang Yu, / Jiang Shi, et al. | 2009
- 250
-
DC photocurrent rejection of high transimpedance gain preamplifier in infrared wireless optical receiverWang Yong-sheng, / Xu li, / Lai Feng-chang, et al. | 2009
- 254
-
Design of low-voltage high performance CMOS-Current feedback amplifier using indirect feedback compensated Op-AmpNandwana, Romesh Kr. / Arrawatia, Mahima / Goel, Nilesh et al. | 2009
- 258
-
Design of an inductorless 3–10GHz SiGe HBT low-noise amplifierYi-wen Huang, / Wan-rong Zhang, / Pei Shen, / Ning Hu, / Lu Huang, et al. | 2009
- 262
-
Design of RF amplifier with tunable active inductorLiu Mengmeng, / Wang Shuo, / Chen Feng, et al. | 2009
- 266
-
A 1.5 GHz linear-in-dB Variable Gain Amplifier with process and temperature tracking in 0.18-µm CMOSLiu, Xiong / Willson, Alan N. et al. | 2009
- 270
-
A new robust capacitance mis-match measurement for analog/mixed-signal applicationsJung, Won-Young / Kim, Jong-Min / Kim, Jin-Su / Choi, Jung-Hyun / Kwak, Sang-Hoon / Kim, Taek-Soo / Wee, Jae-Kyung et al. | 2009
- 274
-
A low power CMOS Mixed-Integrator-Based continuous-time filterYong Chen, / Yumei Zhou, et al. | 2009
- 277
-
CMOS 4th-order gm-c low-pass filter with wide tuning range in high frequencyJin Hu, / Huage Hei, / Qingbo Liu, / Guancheng Liu, et al. | 2009
- 280
-
Tuning of a capacitorless bandpass biquad through sequentially trained ANNMoonngam, Montira / Chaisricharoen, Roungsan / Chipipop, Boonruk et al. | 2009
- 284
-
A single-event transient hardened phase-locked loop in 0.18 µm CMOS processZhao Zhenyu, / Zhang Minxuan, / Chen Jihua, / Guo Bin, et al. | 2009
- 288
-
A PSO-based tuning of a capacitorless current-mode bandpass biquadPongsuwan, Ratchaneekorn / Chaisricharoen, Roungsan / Chipipop, Boonruk et al. | 2009
- 292
-
An LO power distribution network design for integrated 60-GHz transceiver on chipMo, Y. / Wang, K. / Zhang, F. / Skafidas, E. / Evans, R. / Mareels, I. et al. | 2009
- 296
-
Effect of Dummy fills on characteristics of passive devices in CMOS millimeter-wave circuitsTsuchiya, Akira / Onodera, Hidetoshi et al. | 2009
- 300
-
A low power CMOS bandgap voltage reference with enhanced power supply rejectionWenguan Li, / Ruohe Yao, / Lifang Guo, et al. | 2009
- 305
-
A switched-reset 300e ENC 10mW readout ASIC in 180nm CMOS for CdZnTe particle detectorLi, Xiangyu / Chen, Xin'guang / Zhang, Qi / Sun, Yihe et al. | 2009
- 309
-
A double-scroll based true random number generator with power and throughput adjustableFuqiang Cao, / Shuguo Li, et al. | 2009
- 313
-
A low-noise CMOS readout circuit at low frequency for MEMS capacitive accelerometersJianghua Chen, / Xuewen Ni, / Bangxian Mo, et al. | 2009
- 317
-
An 0.35μm/ CMOS 2.4Gb/s LVDS for high-speed DACXingfa Huang, / Liang Li, / Kaikai Xu, / Ruzhang Li, / Cheng Shu, et al. | 2009
- 320
-
A high efficiency CMOS charge pump for low voltage operationXueqiang Wang, / Dong Wu, / Fengying Qiao, / Peng Zhu, / Kan Li, / Liyang Pan, / Runde Zhou, et al. | 2009
- 324
-
Analysis and design of high power supply rejection LDOYali Shao, / Yi Wang, / Zhihua Ning, / Lenian He, et al. | 2009
- 328
-
A low breakdown-voltage charge pump based on Cockcroft-Walton structureZhang, Renyuan / Huang, Zhangcai / Inoue, Yasuaki et al. | 2009
- 336
-
High efficiency Autonomous Controlled Cascaded LDOs for Green Battery systemOkamura, Leona / Morishita, Fukashi / Arimoto, Kazutami / Yoshihara, Tsutomu et al. | 2009
- 340
-
A built-in self-test high-current LED driverDo Hung Nguyen, / Hasan, Jaber / Ang, Simon S. et al. | 2009
- 344
-
A new layout method to improve the thermal stability of Multi-finger power HBTChen, Y. / Shen, H. / Liu, X. et al. | 2009
- 347
-
Design of highly-efficient wideband RF polar transmitters using Envelope-Tracking (ET) for mobile WiMAX/Wibro applicationsLie, Donald Y.C. / Yan Li, / Lopez, Jerry / Stanley Wu, / Tzu-Yin Yang, et al. | 2009
- 351
-
A CMMB mobile TV tuner frontend with integrated RSSI for dual-band applicationsHua Xu, / Yin Shi, / Dai, Fa Foster et al. | 2009
- 355
-
A switched-inductor based VCO with an ultra-wideband tuning range of 87.6 %Liu Qing, / Sun Jiangtao, / Kurachi, Satoshi / Itoh, Nobuyuki / Yoshimasu, Toshihiko et al. | 2009
- 359
-
A 15-µs fast-locking frequency synthesizer for reconfigurable wireless systemsJunhua Liu, / Huailin Liao, / Ru Huang, et al. | 2009
- 363
-
High linearity voltage-controlled oscillatorNguyen Phuong Thi Le, / Low, Ken Tatt / Libin Yao, et al. | 2009
- 375
-
A fully integrated broadband direct-conversion receiver RFIC for satellite tunerYin Shi, / Dai, Fa Foster / Xueqing Hu, / Peng Yu, / Bei Chen, / Zheng Gong, et al. | 2009
- 383
-
A 2.45GHz CMOS power amplifier with high linearityMingfu Zhao, / Lingling Sun, / Jincai Wen, / Zhiping Yu, / Jin Kang, et al. | 2009
- 387
-
A spread spectrum clock generator with phase-rotation algorithm for 6Gbps clock and data recoveryChi-Hsien Lin, / Yen-Ying Huang, / Shu-Rung Li, / Yuan-Pu Cheng, / Shyh-Jye Jou, et al. | 2009
- 391
-
A 0.18 μm CMOS programmable interference canceller for cognitive radio front-endYao, Chengzhang / Li, Yongming / Zhihua Wang, / Zhang, Xu et al. | 2009
- 395
-
A 1.8V CMOS polar transmitter front-end for 900MHz EDGE systemRan Ren, / Taotao Yan, / Peichen Jiang, / Hao Hu, / Jianjun Zhou, et al. | 2009
- 399
-
PAC Duo SoC performance analysis with ESL design methodologyChuang, I-Yao / Chang, Chi-Wen / Fan, Tso-Yi / Yeh, Jen-Chieh / Ji, Kung-Ming / Ma, Jui-Liang / Wu, An-Yeu / Lin, Shih-Yin et al. | 2009
- 403
-
Designing WISHBONE to AMBA WrapperCao Fan, / Chen Lan, / Yi Bo, et al. | 2009
- 407
-
Efficient floor-planning methodology for the Jasper Forest SoC on a 45 nanometer processYuyun Liao, / Nishi Raman, / Liping Kong, / Jung-Yueh Chang, et al. | 2009
- 411
-
A study and design of CMOS H-Tree clock distribution network in system-on-chipLoo, Wei-Khee / Tan, Kok-Siang / Teh, Ying-Khai et al. | 2009
- 415
-
Analysis and design of a fully integrated SoC for UHF RFID reader in CMOS technologyJingchao Wang, / Chun Zhang, / Baoyong Chi, / Zhihua Wang, et al. | 2009
- 419
-
Design and implementation of an advanced DMA controller on AMBA-based SoCGuoliang Ma, / Hu He, et al. | 2009
- 423
-
Framework for statistical analysis of homogeneous multicore power grid networksLiu, Guanglei / Fan, Jeffrey et al. | 2009
- 427
-
The design of a sub-nanojoule asynchronous 8051 with interface to external commercial memoryChao Xue, / Xiang Cheng, / Yang Guo, / Yong Lian, et al. | 2009
- 431
-
Semi-distributed scheduling for flexible codeword assignment in a CDMA Network-on-ChipLee, Woojoon / Sobelman, Gerald E. et al. | 2009
- 435
-
A super low power MICS band receiver in 65 nm CMOS for high resolution epi-retinal prosthesisJiawei Yang, / Nhan Tran, / Shun Bai, / Ng, David C. / Halpern, Mark / Skafidas, Efstratios / Mareels, Iven et al. | 2009
- 439
-
An application-specific buffer allocation algorithm for network-on-chipYaming Yin, / Shuming Chen, et al. | 2009
- 443
-
Implementation and evaluation of a heterogeneous multicore SoCMing Yan, / Peng Zhao, / Jianliang Shen, / Lei Liu, / Sikun Li, et al. | 2009
- 447
-
A 290-µW 25-kHz continuous-time delta-sigma modulator for acoustic sensor networksChoi, Youngkil / Kim, Hyungjoong / Roh, Hyungdong / Roh, Jeongjin et al. | 2009
- 451
-
A low noise and highly linear wideband CMOS RF front-end circuits for digital TV tunersNam, Ilku / Im, Donggu / Lim, Young-Wook / Xu, Xuemin / Lee, Hyung Su / Seo, Shin-Hyouk et al. | 2009
- 455
-
A low power, wide range VCO with automatic amplitude calibration loopKim, SangWoo / Park, JoonSung / Pu, YoungGun / Lee, Kang-Yoon et al. | 2009
- 458
-
A Common Gate mixer with transconductance nonlinearity cancellationKim, Tae Wook et al. | 2009
- 461
-
Millimeter-wave CMOS circuits for a high data rate wireless transceiverTai Nghia Nguyen, / Lee, Seong-Gwon / Hwang, Sang-Hyun / Lee, Jong-Wook / Kim, Byung-Sung et al. | 2009
- 465
-
A 2.4 GHz wireless transmitter front-end for endoscopy capsule systemChen, Fan / Chi, Baoyong / Wang, Zhihua et al. | 2009
- 469
-
All digital wireless transceiver using modified BPSK and 2/3 sub-sampling techniqueBushnaq, Sanad / Nakura, Toru / Ikeda, Makoto / Asada, Kunihiro et al. | 2009
- 473
-
A low power high date rate ASK IF receiverWang, Xiaoman / Chi, Baoyong / Wang, Zhihua et al. | 2009
- 477
-
Digital OFDM transmitter architecture and FPGA designCui, Xiaoxin / Yu, Dunshan et al. | 2009
- 481
-
Implementation of a high-speed parallel Turbo decoder for 3GPP LTE terminalsWu, Di / Asghar, Rizwan / Huang, Yulin / Liu, Dake et al. | 2009
- 485
-
High-speed Reed-Solomon errors-and-erasures decoder design with burst error correctingYuan, Bo / Sha, Jin / Li Li, / Zhongfeng Wang, et al. | 2009
- 489
-
An area-efficient and degree-computationless BCH decoder for DVB-S2Zhou Chen, / Yulong Zhang, / Yan Ying, / Chuan Wu, / Xiaoyang Zeng, et al. | 2009
- 493
-
A flexible architecture for multi-standard LDPC decodersShuangqu Huang, / Bo Xiang, / Bei Huang, / Yun Chen, / Xiaoyang Zeng, et al. | 2009
- 497
-
Design and implementation of channel coding for underwater acoustic systemLanjun Liu, / Youhua Wang, / Li Li, / Xiaotong Zhang, / Jianguo Wang, et al. | 2009
- 501
-
Implementation of LDPC decoder for 802.16eXiao Peng, / Satoshi Goto, et al. | 2009
- 505
-
An area efficient multi-mode architecture for reed-solomon decoderBei Huang, / Shuangqu Huang, / Yun Chen, / Xiaoyang Zeng, et al. | 2009
- 509
-
Design of new training sequence and estimation scheme for frequency dependent I/Q imbalance in MB-OFDM based UWB systemsJun Zhou, / Liang Liu, / Fan Ye, / Junyan Ren, et al. | 2009
- 513
-
Design and implementation of a multi-mode interleaver/deinterleaver for MIMO OFDM systemsZhen-dong Zhang, / Bin Wu, / Yong-xu Zhu, / Yu-mei Zhou, et al. | 2009
- 517
-
A low-power 4K point FFT processor for CMMB OFDM receiver systemSimeng Li, / Hao Xiao, / Yun Chen, / Xiaoyang Zeng, et al. | 2009
- 521
-
Area minimization of MPRM circuitsHui Li, / Pengjun Wang, / Jing Dai, et al. | 2009
- 525
-
A highly efficient inverse transform architecture for multi-standard HDTV decoderHang Zhang, / Peilin Liu, / Yu Hong, / Zhou, Dajiang / Goto, Satoshi et al. | 2009
- 529
-
A novel synchronization scheme for OFDM-based CMMB receiversXiuping Chen, / Chuan Wu, / Zhou Chen, / Bo Shen, / Xiaoyang Zeng, et al. | 2009
- 533
-
Low-voltage and high-speed FPGA I/O cell design in 90nm CMOSZhang, Nan / Wang, Xin / Tang, He / Wang, Albert / Wang, Zhihua / Chi, Baoyong et al. | 2009
- 537
-
A mobile UHF RFID reader with high linearity receiverXiaoxing Feng, / Xin'an Wang, / Xing Zhang, / Binjie Ge, / Shan Liu, / Jinpeng Shen, / Jinsi Zhong, / Yuan Liu, et al. | 2009
- 541
-
A memory-efficient LUT-based AM/AM digital predistortor for UHF RFID readerYang, Yuqing / Liu, Zongming / Tan, Xi / Min, Hao et al. | 2009
- 545
-
An optimized ΔΣ fractional-N frequency synthesizer for CMOS UHF RFID readerShi, Chunqi / Zhang, Runxi / Lai, Zongsheng et al. | 2009
- 549
-
High efficiency CMOS rectifier circuits for UHF RFIDs using Vth cancellation techniquesKotani, Koji / Ito, Takashi et al. | 2009
- 553
-
A two-stage wake-up circuit for semi-passive RFID tagChen, Wei / Che, Wenyi / Wang, Xiao / Huang, Chenling / Na Yan, / Min, Hao / Tan, Jie et al. | 2009
- 557
-
A low-power analog front end of passive UHF RFID tag IC for EPC(TM) C1G2Zhang, Shaojie / Sun, Lingling / Hong, Hui / Liu, Jun / Han, Jian / Luo, Shiqin et al. | 2009
- 557
-
A low-power analog front end of passive UHF RFID tag IC for EPC™ C1G2Shaojie Zhang, / Lingling Sun, / Hui Hong, / Jun Liu, / Jian Han, / Shiqin Luo, et al. | 2009
- 561
-
Development of CMOS UHF RFID modulator and demodulator using DTMOST techniquesTeh, Ying-Khai / Mohd-Yasin, Faisal / Choong, Florence / Reaz, Mamun Ibne et al. | 2009
- 565
-
A self-testing assisted pipelined-ADC calibration techniqueHuang, Jiun-Lang / Huang, Xuan-Lun / Ping-Ying Kang, et al. | 2009
- 569
-
Output test compression for compound defect diagnosisChao-Wen Tzeng, / Shi-Yu Huang, et al. | 2009
- 573
-
Wireless built-in self-repair architectures for embedded RAMsWang, Zhen-Yu / Tsai, Yi-Ming / Hsiao, Yuan-Cheng / Lu, Shyue-Kung et al. | 2009
- 577
-
A unified test and debug platform for SOC designLee, Kuen-Jong / Chang, Chin-Yao / Su, Alan / Si-Yuan Liang, et al. | 2009
- 581
-
A circuit failure prediction mechanism (DART) for high field reliabilitySato, Yasuo / Kajihara, Seiji / Miura, Yukiya / Yoneda, Tomokazu / Ohtake, Satoshi / Inoue, Michiko / Fujiwara, Hideo et al. | 2009
- 585
-
Analysis technique for systematic variation over whole shot and wafer at 45 nm process nodeNakanishi, Jingo / Notani, Hiromi / Nakase, Yasunobu / Shinohara, Hirofumi et al. | 2009
- 589
-
F-scan: An approach to functional RTL scan for assignment decision diagramsObien, Marie Engelene J. / Fujiwara, Hideo et al. | 2009
- 593
-
ISTA: An embedded architecture for post-silicon validation in processorsTing Lei, / Hu He, / Yihe Sun, et al. | 2009
- 597
-
Signature-based testing for adaptive digitally-calibrated pipelined analog-to-digital convertersAbbas, Mohamed / Furukawa, Yasuo / Komatsu, Satoshi / Asada, Kunihiro et al. | 2009
- 601
-
Probability of calculation failures by soft errors in an embedded processor coreKanbara, Hiroyuki / Okuhata, Hiroyuki / Ise, Masanao / Kinjo, Ryota / Toda, Yuki et al. | 2009
- 605
-
Automatic configuration generation for a SOC co-verification technology based FPGA functional test systemRuan, A.W. / Liao, Y.B. / Li, P. / Li, W. / Li, W.C. et al. | 2009
- 609
-
A response compactor for extended compatibility scan tree constructionYou, Zhiqiang / Huang, Jiedi / Inoue, Michiko / Kuang, Jishun / Fujiwara, Hideo et al. | 2009
- 613
-
Soft error filtered and hardened latchAlidash, ]Hossein Karimiyan / Sayedi, Sayed Masoud / Saidi, Hossein / Oklobdzija, Vojin G. et al. | 2009
- 617
-
A scan chains combined-balance strategy for hierarchical SoC DFTZhang, Jinyi / Zhang, Dong / Yang, Xiaodong / Yang, Yi et al. | 2009
- 621
-
Prospects for variation tolerant SRAM circuit designsYamauchi, Hiroyuki et al. | 2009
- 625
-
A 90-nm CMOS embedded low power SRAM compilerZhang, Zhao-Yong / Chen, Chia-Cheng / Zheng, Jian-Bin et al. | 2009
- 629
-
Low-area 1-kb multi-bit OTP IP designJin, Li-yan / Kim, Tae-Hoon / Lee, Cheon-Hyo / Ha, Pan-Bong / Kim, Young-Hee et al. | 2009
- 633
-
Dynamic property test of a novel high g microaccelerometerYunbo, Shi / Zhengqiang, Zhu / Xiaopeng, Liu / Jun, Liu / Qiulin, Tan et al. | 2009
- 636
-
A novel preamplifier for MEMS microphoneHua, Siliang / Liu, Yan / Zhang, Jian'en / Wang, Donghui / Hou, Chaohuan et al. | 2009
- 639
-
Potential-induced surface stress change during the electrochemical reactionYang, Jie / Zhou, Jia et al. | 2009
- 642
-
Design and Simulation of a SAW Filter and a new approach for bandwidth's tuningSadeghi, M. / Ghayour, R. / Abiri, H. / Karimi, M. et al. | 2009
- 646
-
Large-scale analog/RF performance modeling by statistical regressionLi, Xin et al. | 2009
- 650
-
Accelerating PCG power/ground network solver on GPGPUCai, Yici / Shi, Jin et al. | 2009
- 654
-
Multicore processor cluster based sleep transistor sizing considering delay profileHuang, Huang / Fan, Jeffrey et al. | 2009
- 658
-
Characterization of WID delay variability using RO-array test structuresOnodera, Hidetoshi / Terada, Haruhiko et al. | 2009
- 662
-
A compact analytical model for multi-island single electron transistorsChi, Yaqing / Sui, Bingcai / Fang, Liang / Zhou, Hailiang / Zhong, Haiqing / Sun, He et al. | 2009
- 666
-
Verilog-A based implementation for coupled model of single event transients in look-up table techniqueXueqian, Zhao / Zhenyu, Zhao / Minxuan, Zhang / Shaoqing, Li et al. | 2009
- 670
-
Modeling of layout-dependent STI stress in 65nm TechnologyXue, Ji-ying / Deng, Yang-dong Steve / Ye, Zuo-chang / Yang, Liu / Yu, Zhi-ping et al. | 2009
- 674
-
An equivalent lumped-circuit model for on-chip symmetric intertwined transformerKang, Jin / Sun, Lingling / Wen, Jincai / Zhao, Mingfu et al. | 2009
- 678
-
On-chip spiral inductors synthesis by moving leastsquares approximationYu Li, / Tang Yang, / Wang Yan, et al. | 2009
- 682
-
Implementation of high-speed verification platform based on emulator for ReDSP and ReMAPWei, Huang / Xinan, Wang / Peng, Dai / Zheng, Guo et al. | 2009
- 686
-
Enhance SAT conflict analysis for model checkingJing, Ming-e / Chen, Gengshen / Yin, Wenbo / Zhou, Dian et al. | 2009
- 690
-
An efficient FPGA packing algorithm based on simple dual-output basic logic elementsLiu, Ying / Jiang, Xianyang / Sun, Shilei / Wang, Gaofeng et al. | 2009
- 694
-
Case study: Functional verification of a reconfigurable systolic array using trussYou, Myoung-Keun / Oh, Young-Jin / Song, Gi-Yong et al. | 2009
- 698
-
Trilobite: A Natural Modeling Framework for Processor Design Automation SystemZhang, Mi / Hu, Guang / Chai, ZhiLei / Tu, ShiLiang et al. | 2009
- 704
-
The chip Verification method based on memory monitoringLiu, Sheng / Yang, Huanrong / Li, Yong / Chen, Shuming et al. | 2009
- 708
-
Power-efficient and fault-tolerant circuits and systemsHe, Lei / Hu, Yu et al. | 2009
- 714
-
Architecture level thermal modeling for multi-core systems using subspace system methodEguia, Thom Jefferson A. / Shen, Riujing / Tan, Sheldon X.D. / Pacheco, Eduardo H. / Tirumala, Murli et al. | 2009
- 718
-
On VLSI statistical timing analysis and optimizationLiu, Bao et al. | 2009
- 722
-
Efficient modeling of spatial correlations for parameterized statistical static timing analysisXiong, Jinjun / Zolotov, Vladimir / Visweswariah, Chandu et al. | 2009
- 726
-
Layout optimizations for double patterning lithographyPan, David Z. / Yang, Jae-seok / Yuan, Kun / Cho, Minsik / Ban, Yongchan et al. | 2009
- 730
-
Fast FPGA placement algorithm using Quantum Genetic Algorithm with Simulated AnnealingXiao Guo, / Teng Wang, / Zhihui Chen, / Wang, Lingli / Wenqing Zhao, et al. | 2009
- 734
-
Redundant via allocation for layer partition-based redundant via insertionJian-Wei Shen, / Chiang, Mei-Fang / Song Chen, / Wei Guo, / Yoshimura, Takeshi et al. | 2009
- 738
-
A heuristic method for module sizing under fixed-outline constraintsXiaolin Zhang, / Song Chen, / Longfan Piao, / Yoshimura, Takeshi et al. | 2009
- 742
-
A New FPGA placement algorithm for heterogeneous resourcesDing Xie, / Jiawei Xu, / Lai, Jinmei et al. | 2009
- 747
-
Floorplan and Power/Ground network co-design using guided incremental floorplanningLi Li, / Yuchun Ma, / Ning Xu, / Yu Wang, / Xianlong Hong, et al. | 2009
- 751
-
Thermal via planning aware force-directed floorplanning for D ICsYun Huang, / Zhou, Qiang / Yici Cai, et al. | 2009
- 754
-
Coupling noise analysis technique using random walksMiwa, Hitoshi / Suzuki, Goro et al. | 2009
- 760
-
Recent advance in computational prototyping for analysis of high-performance analog/RF ICsHao Yu, / Tan, Sheldon X.D. et al. | 2009
- 764
-
Solving dispersive media using PLRC-WCS FDTD methodWang Rui, / Wang Gaofeng, et al. | 2009
- 767
-
The minimum delay calculation methods in hybrid timing analysisZhentao Li, / Jihua Chen, / Shuming Chen, / Yao Liu, et al. | 2009
- 771
-
Random walk algorithm for large thermal RC network analysisJun Guo, / Sheqin Dong, / Goto, Satoshi et al. | 2009
- 775
-
A proposal for a capture method of low power design intentInoue, Yoshio et al. | 2009
- 777
-
Power aware design for next generation's Many Cores computing platformsZafalon, Roberto et al. | 2009
- 780
-
Advances in CAD for low power designWong, Martin D. F. et al. | 2009
- 781
-
Multi-gate-length versus dual-gate-length biasing for active mode leakage power reduction: Benchmarking and modelingQiang Chen, / Tirumala, Sridhar et al. | 2009
- 785
-
Geometry optimization of SiGe HBTs for noise performance of the monolithic Low noise amplifierPei Shen, / Wanrong Zhang, / Hongyun Xie, / Dongyue Jin, et al. | 2009
- 789
-
A novel dual SCR device for ESD protectionYu Bo, / Wang Yuan, / Jia Song, / Zhang Ganggang, et al. | 2009
- 792
-
Quantum-mechanical study on the electron effective mobility of surrounding-gate nMOSFETsHu, Guang-Xi / Liu, Ran / Tang, Ting-Ao / Wang, Ling-Li / Qiu, Zhi-Jun et al. | 2009
- 796
-
VLSI design of resource shared complex-QMF bank for HE-AAC decoderJunqiao Huang, / Gaoming Du, / Duoli Zhang, / Yukun Song, / Luofeng Geng, / Minglun Gao, et al. | 2009
- 800
-
An efficient verification and test scheme for media broadcasting demodulatorYun Chen, / Nan Shao, / Bo Xiang, / Dan Bao, / An Pan, / Xiaoyang Zeng, et al. | 2009
- 805
-
A high-throughput cost-effective ASIC implementation of the AES AlgorithmQingfu Cao, / Shuguo Li, et al. | 2009
- 809
-
A fast-lock digital delay-locked loop controllerBo Ye, / Tianwang Li, / Xingcheng Han, / Min Luo, et al. | 2009
- 813
-
Performance evaluation of the memory hierarchy design on CMP prototype using FPGALiu Yan, / Li Dongsheng, / Zhang Duoli, / Du Gaoming, / Wang Jian, / Gao Minglun, / Wen Haihua, / Geng Luofeng, et al. | 2009
- 817
-
Power-aware FPGA packing algorithmYang, M. / Hongying Xu, / Almaini, A.E.A. et al. | 2009
- 820
-
High computing-intensive array system design and hardware implementSong, Yu-kun / Wang, Xiao-lei / Wei Ni, / Zhang, Duo-li / Du, Gao-ming et al. | 2009
- 824
-
Tradeoff design for analog integrated circuits via geometric programmingLi Dan, / Shu Guo-hua, / Rong Meng-tian, et al. | 2009
- 827
-
Clocked storage elements robust to process variationsMoon, Joosik / Aktan, Mustafa / Oklobdzija, Vojin G. et al. | 2009
- 831
-
Research and implementation of parallel and reconfigurable MICKEY algorithmLi, Miao / Xu, Jinfu / Dai, Zibin / Yang, Xiaohui / Qu, Hongfei et al. | 2009
- 835
-
A power and area efficient architecture of convolver based on ramChen Chen, / Yun Chen, / Yuan Chen, / An Pan, / Xiao-Yang Zeng, et al. | 2009
- 839
-
A design of level interface for CMP based Cache systemChen Chen, / Hu He, / Yuan Liu, et al. | 2009
- 843
-
Scalable and unified hardware architecture for montgomery inversion computation in GF(p) and GF(2n)Yang Xiao-hui, / Qin Fan, / Dai Zi-bin, / Zhang yong-fu, et al. | 2009
- 847
-
Design and optimization on reconfigurable butterfly core for a real-time FFT processorZhizhe Liu, / Shunan Zhong, / Yueyang Chen, / Weinan Chu, et al. | 2009
- 851
-
Design of low-power Complementary Pass-Transistor and ternary adder based on multi-valued switch-signal theoryXiaopang Zeng, / Pengjun Wang, et al. | 2009
- 855
-
A Look-Up-Table Based Differential Logic to counteract DPA attacksDaheng Yue, / Yan Sun, / Minxuan Zhang, / Shaoqing Li, / Yutong Dai, et al. | 2009
- 859
-
A parallel intra prediction architecture for H.264 video decodingWang, Xi / Cui, Xiaoxin / Yu, Dunshan et al. | 2009
- 863
-
DM-SIMD: A new SIMD predication mechanism for exploiting superword level parallelismLibo Huang, / Li Shen, / Sheng Ma, / Nong Xiao, / Zhiying Wang, et al. | 2009
- 867
-
A 1Gsample/Sec non-recursive sharpened cascaded integrator-comb filter with 70 dB alias rejection and 0.003 dB droop in 0.18-µm CMOSLiu, Xiong / Willson, Alan N. et al. | 2009
- 871
-
Trade-offs in the design of a universal sensor interface chipQi Jia, / Li, Xiujun / Meijer, Gerard C.M. et al. | 2009
- 875
-
Design and implementation of configurable extract instructions targeted at stream cipher processingLongmei Nan, / Zibin Dai, / Wei Li, / Xueying Zhang, / Zhongxiang Chang, et al. | 2009
- 879
-
Single-phase adiabatic flip-flops and sequential circuits with power-gating schemeHaiyan Ni, / Jianping Hu, et al. | 2009
- 883
-
Design of ternary adiabatic T-operation circuit based on the theory of three essential circuit elementsKunpeng Li, / Pengjun Wang, et al. | 2009
- 887
-
Least operation traversal method applied in optimization of logic circuitsHuihong Zhang, / Pengjun Wang, / Xingsheng Gu, / Jing Dai, et al. | 2009
- 891
-
High performance and low latency mapping for neural network into network on chip architectureDong, Yiping / Yang Wang, / Zhen Lin, / Watanabe, Takahiro et al. | 2009
- 895
-
An improved edge-adaptive image scaling algorithmJiang, Wen / Haifeng Xu, / Chen, Gengsheng / Zhao, Wenqing / Wei Xu, et al. | 2009
- 898
-
A data-flow graph generation algorithm for a coarse-grained reconfigurable processorChao Yang, / Shouyi Yin, / Leibo Liu, / Shaojun Wei, et al. | 2009
- 902
-
Data compression and the 8 x 8 integer transformZhu, Min / Wen, Jia / Liu, Leibo / Wei, Shaojun et al. | 2009
- 902
-
Data compression and the 8×8 integer transformMin Zhu, / Wen Jia, / Leibo Liu, / Shaojun Wei, et al. | 2009
- 906
-
A weighted statistical analysis of DPA attack on an ASIC AES implementationQian, Guoyu / Zhou, Ying / Xing, Yueying / Fan, Yibo / Tsunoo, Yukiyasu / Goto, Satoshi et al. | 2009
- 914
-
Efficient hardware IP control and simulation with LabVIEWKim, Taewan / Chung, Yunmo et al. | 2009
- 917
-
A novel architecture of vision chip for fast traffic lane detection and FPGA implementationYuan-Jin Li, / Zhang, WanCheng / Wu, Nan-Jian et al. | 2009
- 921
-
CMOL cell assignment based on dynamic interchangeZhufei Chu, / Yinshui Xia, / Lunyao Wang, / Meiqun Hu, et al. | 2009
- 925
-
A 2.4GHz non-contact biosensor system for continuous vital-signs monitoring on a single PCBIchapurapu, Ravi / Jain, Suyash / Kakade, Mandar U / Lie, Donald Y.C. / Banister, Ronald E. et al. | 2009
- 929
-
A technique of data streams speculation for heterogeneous MC-DSPsWang Dong, / Chen Shuming, et al. | 2009
- 933
-
Power analysis resistant AES crypto engine design and FPGA implementation for a network security co-processorYingjie Ji, / Liji Wu, / Xiangmin Zhang, / Xiangyu Li, et al. | 2009
- 937
-
Blind separation algorithm for microphone array based on recursive neural networkFan Jiajun, / Fu Yuzhuo, / Liu Ting, et al. | 2009
- 941
-
Neural signal electronics bridge integrated circuitLiu Gao, / Li Wenyuan, / Wang Zhigong, et al. | 2009
- 944
-
Design and experimental research on shallow water volume reverberation signal acquisition systemLiu, Yongwei / Li, Qi / Chen, Mengying et al. | 2009
- 948
-
Architectural integration of RSA accelerator into MIPS processorShiting Lu, / Suiyu Zhang, / Yulong Zhang, / Jun Han, / Xiaoyang Zeng, et al. | 2009
- 952
-
Quality of service routing algorithm in the torusbased network on chipKun Wang, / Changshan Wang, / Huaxi Gu, et al. | 2009
- 955
-
A switched Hall IC for automotive electronic applicationsDongfang Cheng, / Zhifei Yi, / Weiyong Wang, / Xiaohui Li, et al. | 2009
- 963
-
Design of on-chip image processing based on APB bus with CMOS image sensorGe Zhiwei, / Yao Suying, / Xu Jiangtao, et al. | 2009
- 967
-
Automatic parallelization experiments on 16PE NOC based MPSOCTian, G. / Hammami, O. et al. | 2009
- 971
-
Design and FPGA implementation of JAVA CARD coprocessor for EMV compatible IC bankcardWu, Di / Wu, Liji / Zhang, Xiangmin et al. | 2009
- 975
-
A low-cost custom HF RFID system for hand washing compliance monitoringJain, Suyash / Mane, Shashank / Lopez, Jerry / Lie, Donald Y.C. / Dallas, Tim / Dissanaike, Sharmila / Banister, Ronald E. / Griswold, John et al. | 2009
- 979
-
A joint mode detection and coarse symbol synchronization scheme for DVB-HZewen Shi, / Yulong Zhang, / Yan Ying, / Xiaoyang Zeng, et al. | 2009
- 983
-
An UHF RFID transponder for ISO 18000-6BXiaoxing Feng, / Xin'an Wang, / Xing Zhang, / Binjie Ge, / Jinpeng Shen, / Shan Liu, / Yongzhen Qi, / Jinsi Zhong, et al. | 2009
- 987
-
A new DOA estimation method for uncorrelated and coherent sources under nonstationary noise fieldsGuo, Yi-Duo / Zhang, Yong-Shun / Tong, Ning-Ning et al. | 2009
- 991
-
A novel programmable ultra-wideband transmitterDeng Honglin, / Zhang Sheng, / Quan Jinguo, / Liu Meng-meng, / Lin Xiaokang, et al. | 2009
- 995
-
An multi-rate LDPC decoder based on ASIP for DMB-THXiaojun Zhang, / Yinghong Tian, / Jianming Cui, / Yuyin Xu, / Zongsheng Lai, et al. | 2009
- 999
-
Design and implementation of a security-enhanced baseband system for UHF RFID tagQi Yongzhen, / Wang Xin'an, / Feng Xiaoxing, / Gu Weqing, et al. | 2009
- 1003
-
Low-complexity architecture of RS decoder for CMMB systemKun Guo, / Yong Hei, / Shushan Qiao, et al. | 2009
- 1007
-
A cost efficient LDPC decoder for DVB-S2Yan Ying, / Dan Bo, / Shuangqu Huang, / Bo Xiang, / Yun Chen, / Xiaoyang Zeng, et al. | 2009
- 1011
-
High-reliable multi-level phase change memory with bipolar selectorsLe Xu, / Yufeng Xie, / Yinyin Lin, et al. | 2009
- 1015
-
A pure logic CMOS based low power non-volatile random access memory for RFID applicationYaru Yan, / Dong Wu, / Huijuan Liu, / Liyang Pan, / Jun Xu, et al. | 2009
- 1019
-
Flow field plate of micro fuel cell fabrication in UV-nanoimprint lithographySi Weihua, / Dong Xiaowen, / Gu Wenqi, / Liu Zewen, et al. | 2009
- 1023
-
Thermal stress analysis of the microtoroid under CO2 laserGuoqing Jiang, / Shubin Yan, / Yingzhan Yan, / Jijun Xiong, et al. | 2009
- 1027
-
Improved thermal stability of power SiGe heterojunction bipolar transistor with novel emitter structureNing Hu, / Zhang, Wan-rong / Liang Chen, / Lu Huang, / Yi-wen Huang, et al. | 2009
- 1031
-
Investigation into trap-assisted tunneling drain leakage current in NMOSFETsXing Dezhi, / Liu Hongxia, / Li Kaicheng, et al. | 2009
- 1035
-
An experimental extracted model for latchup analysis in CMOS processYe Li, / Xiaohan Gong, / Weiwei Xu, / Zhiliang Hong, / Killat, Dirk et al. | 2009
- 1039
-
A novel MTJ-based registerYanfeng Jiang, / Xiaobo Zhang, / Jiaxin Ju, et al. | 2009
- 1043
-
Effect of refractive index of dielectric on transmission properties for metal/dielectric/metal photonic crystalGongli Xiao, / Yiping Huang, / Zongming Bao, et al. | 2009
- 1047
-
The gate-bias influence for ESD characteristic of NMOSJuan Liu, / Hang Fan, / Jianguo Li, / Lingli Jiang, / Bo Zhang, et al. | 2009
- 1051
-
The size optimize of DCVSPG logicYuanbin Xie, / Weitao Pan, / Peijun Ma, / Yue Hao, et al. | 2009
- 1058
-
Development and production of ZCS soft switching converter-based gate driver ICJun Jiang, / Xian Wu, / Bo Hu, / Jianjun Liao, / Lu Zhao, et al. | 2009
- 1062
-
A high efficiency filter-less class-D audio power amplifierYu-Jei Lin, / Wan-Rone Liou, / Mei-Ling Yeh, et al. | 2009
- 1066
-
A synchronous boost regulator with PWM/PFM mode operationLiou, Wan-Rone / Chen, Ping-Hsing / Tzeng, Jiun-Chang et al. | 2009
- 1070
-
A low spur charge pump in 0.35µm SiGe process for PLLSong Ye, / Lingling Wu, / Yang Yu, / Xuan Wu, / Shuailin Zhou, / Shoulong Tang, et al. | 2009
- 1074
-
A sub-1V 115nA 0.35µm CMOS voltage reference for ultra low-power applicationsMa, Haifeng / Zhou, Feng et al. | 2009
- 1078
-
2.4 GHz Doherty power amplifier with on-chip active balun designMei-Guang Li, / Qi-Rong Wu, / Rui-Ying Shi, et al. | 2009
- 1081
-
Digital static calibration technology used for 16-bit DACDongmei, Zhu / Dongbing, Fu / Jiangang, Shi / Kaicheng, Li et al. | 2009
- 1085
-
A novel 0.72–6.2GHz continuously-tunable ΔΣ fractional-N frequency synthesizerLou, Wenfeng / Yan, Xiaozhou / Geng, Zhiqing / Wu, Nanjian et al. | 2009
- 1089
-
A low dropout regulator with over current reminder circuitsYong-sheng, Wang / Rui-xuan, Li / Ming-yan, Yu / Feng-chang, Lai et al. | 2009
- 1093
-
A sample/hold circuit for 80MSPS 14-bit A/D converterKunguang, Xiao / Yuxing, Wang / Minyuan, Xu / Chan, Zhu et al. | 2009
- 1097
-
Single-inductor dual-output converter with dynamic power distributionSun, Yueming / Wu, Xiaobo / Zhao, Menglian et al. | 2009
- 1101
-
CCCII and CCII based universal current-mode filter with tunable frequencyJiang, Jinguang / Zhao, Jing / Ma, Wei / Liu, Jingnan et al. | 2009
- 1105
-
A simple parameter extraction method for on-chip inductorsLu, Xiaoming / Xi, Jingtian / Yan, Na / Min, Hao et al. | 2009
- 1109
-
A novel building block: universal current conveyorQiujing, Zhang / Chunhua, Wang / Yang, Leng et al. | 2009
- 1113
-
A low power self-sampling IF FSK receiverXu, Yang / Chi, Baoyong / Wang, Zhihua et al. | 2009
- 1117
-
A nonlinear phase frequency detector for fast-lock phase-locked loopsLan, Jinbao / Lai, Fengchang / Gao, Zhiqiang / Ma, Hua / Zhang, Jianwei et al. | 2009
- 1121
-
A parallel-amplification parallel-summation logarithmic amplifier for UHF RFID readerYong, Zhang / Lei, Chen / Xiao-jun, Zhang / Zong-sheng, Lai et al. | 2009
- 1125
-
Design of parallel backlight LED driver ICLee, Jae-Hyung / Jin, Li-yan / Li, Long-Zhen / Ha, Pan-Bong / Kim, Young-Hee et al. | 2009
- 1129
-
Design of compensation circuits for quasi-resonant PWM controllersYe, Zhao / Yumei, Zhou / Liye, Duan et al. | 2009
- 1133
-
A constant-gain time-amplifier with digital self-calibrationTong, Baoli / Yan, Wei / Zhou, Xiaofang et al. | 2009
- 1137
-
A 2.4-GHz low-IF front-end receiver in 0.18-µm CMOS for IEEE 802.15.4 WPAN applicationsBao-lin, Wei / Yu-jie, Dai / Xiao-xing, Zhang / Ying-jie, Lu et al. | 2009
- 1141
-
An ultra low power sigma-delta modulator for hearing aid with double-samplingQi, Da / Li, Yuan-wen / Long-Cheng, / Xu, Jun / Ye, Fan / Ren, Jun-yan et al. | 2009
- 1145
-
A 760mV CMOS voltage reference with mobility and subthreshold slope compensationLi, Yongjia / Xia, Xiaojuan / Sun, Weifeng / Lu, Shengli et al. | 2009
- 1149
-
Process-insensitive general purpose rail-to-rail operational amplifier using unity-size transistorsYang, Shengqi / Ge, Fuding et al. | 2009
- 1153
-
Design of a 2.5Gbps Clock-Data Recovery circuit in 0.18um standard CMOS processYueyang, Chen / Shun'an, Zhong / Hua, Dang et al. | 2009
- 1161
-
A novel fast-settling ADPLL architecture with frequency tuning word presetting and calibrationZhang, Weicheng / Dai, Xuan / Jin, Jing / Zhou, Jianjun et al. | 2009
- 1165
-
Design of novel bootstrap driver used in high power BUCK converterZhou, Zekun / Ming, Xing / Zhang, Bo / Li, Zhaoji et al. | 2009
- 1169
-
Design of a noise-canceling differential CMOS LNA for 3.1–10.6 GHz UWB receiversLiu, Jinhua / Chen, Guican / Zhang, Ruizhi et al. | 2009
- 1173
-
True single-phase energy recovery flip-flop for low-power applicationGao, Leisheng / Zhou, Yumei / Liu, Hainan et al. | 2009
- 1177
-
A 200MHz low-power direct digital frequency synthesizer based on mixed structure of angle rotationShuqin, Wan / Yiding, Huang / Kaihong, Zang / Zongguang, Yu et al. | 2009
- 1181
-
0.5 V 1.3 GHz voltage controlled ring oscillatorLi, Tianwang / Ye, Bo / Jiang, Jinguang et al. | 2009
- 1185
-
A zero-ESR stable adaptively biased low-dropout regulator in standard CMOS technologyTan, Min et al. | 2009
- 1189
-
Design of 16-bit 400MSPS current steering D/A converterDongbing, Fu / Dongmei, Zhu / Shutao, Zhou / Kaicheng, Li et al. | 2009
- 1193
-
Practical tuning of an OTA-C bandpass biquad via recurrent geometric programmingChaisricharoen, Roungsan / Chipipop, Boonruk et al. | 2009
- 1197
-
Stochastic inductance model of OTA-based inductorBanchuin, Rawid / Chaisrichatorn, Rougsan / Chipipop, Boonruk et al. | 2009
- 1201
-
Design of common gate UWB LNA in CMOSCai, Li / Fu, Zhongqian / Huang, Lu et al. | 2009
- 1205
-
Battery-less piezoceramics mode energy harvesting for automobile TPMSWu, Liji / Wang, Yixiang / Jia, Chen / Zhang, Chun et al. | 2009
- 1209
-
Novel method of analog circuit schematic synthesisWu, Yuping et al. | 2009
- 1213
-
A jitter measurement circuit based on dual resolution vernier oscillatorTang, Wei / Feng, Jianhua / Lee, Chunglen et al. | 2009
- 1217
-
Multi-classifier fusion approach based on data clustering for analog circuits fault diagnosisSong, Guoming / Wang, Houjun / Liu, Hong / Jiang, Shuyan et al. | 2009
- 1221
-
Hierarchical SoC testing scheduling based on the ant colony algorithmCui, Xiaole / Cheng, Wei / Wang, Xiaoye / Yin, Liang / Sun, Yachun / Zhou, Yan et al. | 2009
- 1225
-
Novel TSC CMOS circuitKm, Jeong Beom et al. | 2009
- 1228
-
Full coverage location of logic resource faults in A SOC co-verification technology based FPGA functional test environmentLiao, Y.B. / Li, P. / Ruan, A.W. / Li, W. / Li, W.C. et al. | 2009
- 1232
-
Power noise analysis acceleration technique by linear programmingSuzuki, Goro / Gomakubo, Takeshi et al. | 2009
- 1236
-
Effectiveness analysis of low power technique of dynamic logic under temperature and process VariationsWang, Jinhui / Wu, Wuchen / Gong, Na / Zhang, Wang / Hou, Ligang et al. | 2009
- 1240
-
Variation RLC model of interconnect based on weibull distributionJianwei, Li / Yintang, Yang / Gang, Dong / Zeng, Wang et al. | 2009
- 1244
-
Surface-potential-based analysis of bias-dependent series resistance in LDD MOSFETChen, Lei / Sun, Ling-Ling / Liu, Jun et al. | 2009
- 1247
-
DCCB and SCC based fast circuit partition algorithm for parallel SPICE simulationZhou, Xiaowei / Wang, Yu / Yang, Huazhong et al. | 2009
- 1251
-
An improved resource allocation algorithm for testabilityQiang, Sun et al. | 2009
- 1255
-
Architecture of SystemC based emulator for ReMAPWei, Lai / Dai, Peng / Wang, Xin'an / Liu, Yanliang et al. | 2009
- 1260
-
The verification of the substrate mathematics model and optimization of the substrate noise canceling technique in mixed signal ICsCheng, Dongfang / Yang, Wenrong / Wang, Jiongming et al. | 2009
- 1264
-
Multi-voltage and level-shifter assignment driven floorplanningYu, Bei / Dong, Sheqin / Goto, Satoshi et al. | 2009
- 1268
-
A new electrothermally-aware methodology for full-chip temperature optimizationDong, Gang / Leng, Peng / Chai, Changchun / Yang, Yintang et al. | 2009
- 1272
-
Design of SoC verification platform based on VMM methodologyKong, Lu / Wu, Wu-Chen / He, Yong / He, Ming / Zhou, Zhong-Hua et al. | 2009
- 1276
-
Transistor permutation for better transistor chainingChen, Xun / Zhu, Jianwen et al. | 2009
- 1280
-
Convex-cost flow based redundant-via insertion with density-balance considerationGuo, Wei / Chen, Song / Chiang, Mei-Fang / Shen, Jian-Wei / Yoshimura, Takeshi et al. | 2009
- 1284
-
Design and implementation of MCU chip for automobile TPMSXiao, Bin / Wu, Liji / Jia, Chen / Zhang, Chun et al. | 2009
- 1288
-
Formal verification of mixed-signal circuits using extended PSLZhang, Meng / Gao, Deyuan / Fan, Xiaoya et al. | 2009
- 1294
-
Pin assignment for wire length minimization after floorplanning phaseHe, 1Xu / Dong, 1Sheqin et al. | 2009
- 1298
-
Congestion-driven floorplanning based on two-stage optimizationMao, Fubing / Ma, Yuchun / Xu, Ning / Liu, Shenghua / Wang, Yu / Hong, Xianlong et al. | 2009
- 1302
-
Template-based compilation for coarse-grained reconfigurable processorYin, 1Shouyi / Yin, 1Chongyong / Liu, 1Leibo / Wei, 1Shaojun et al. | 2009
- 1306
-
Formal verification of full-wave rectifier: A case studyLata, Kusum / Jamadagni, H S et al. | 2009
- 1310
-
SystemVerilog-based verification environment using SystemC custom hierarchical channelYou, Myoung-Keun / Song, Gi-Yong et al. | 2009
- 1314
-
Asynchronous dual-mode buck converter design with protection circuits in 0.13µm CMOS process for battery applicationsHora, Jefferson A. / Zeng, Jiun-Chang / Liou, Wan-Rone et al. | 2009
- 1318
-
An introduction to assertion-based verificationTao, Yunfeng et al. | 2009
- c1
-
Covers| 2009
- ii
-
Author's index| 2009
- VIII
-
Keynote speech index| 2009