Bringing SEM-contour based OPC to production (Englisch)
- Neue Suche nach: Weisbuch, François
- Neue Suche nach: Koh, Kar Kit
- Neue Suche nach: Jantzen, Kenneth
- Neue Suche nach: Weisbuch, François
- Neue Suche nach: Koh, Kar Kit
- Neue Suche nach: Jantzen, Kenneth
In:
Proc. SPIE
;
9052
; 905224
;
2014
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Bringing SEM-contour based OPC to production
-
Beteiligte:
-
Kongress:Optical Microlithography XXVII ; 2014 ; San Jose,California,USA
-
Erschienen in:Proc. SPIE ; 9052 ; 905224
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:31.03.2014
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 90520A
-
Scanner performance predictor and optimizer in further low-k1 lithographyAoyama, Hajime / Nakashima, Toshiharu / Ogata, Taro / Kudo, Shintaro / Kita, Naonori / Ikeda, Junji / Matsui, Ryota / Yamamoto, Hajime / Sukegawa, Ayako / Makino, Katsushi et al. | 2014
- 90520B
-
Imaging control functions of optical scannersNishinaga, Hisashi / Hirayama, Toru / Fujii, Daiyu / Yamamoto, Hajime / Irihama, Hiroshi / Ogata, Taro / Koizumi, Yukio / Suzuki, Kenta / Fujishima, Yohei / Matsuyama, Tomoyuki et al. | 2014
- 90520C
-
Experimental validation of rigorous, 3D profile models for negative-tone develop resistsGao, Weimin / Klostermann, Ulrich / Kamohara, Itaru / Schmoeller, Thomas / Lucas, Kevin / Demmerle, Wolfgang / De Bisschop, Peter / Mailfert, Julien et al. | 2014
- 90520D
-
Wafer sub-layer impact in OPC/ORC models for advanced node implant layersLe-Denmat, Jean-Christophe / Michel, Jean-Christophe / Sungauer, Elodie / Yesilada, Emek / Robert, Frederic / Lan, Song / Feng, Mu / Wang, Lei / Depre, Laurent / Kapasi, Sanjay et al. | 2014
- 90520F
-
193nm immersion lithography for high-performance silicon photonic circuitsSelvaraja, Shankar K. / Winroth, Gustaf / Locorotondo, Sabrina / Murdoch, Gayle / Milenin, Alexey / Delvaux, Christie / Ong, Patrick / Pathak, Shibnath / Xie, Weiqiang / Sterckx, Gunther et al. | 2014
- 90520G
-
Lithographic process window optimization for mask aligner proximity lithographyVoelkel, Reinhard / Vogler, Uwe / Bramati, Arianna / Erdmann, Andreas / Ünal, Nezih / Hofmann, Ulrich / Hennemeyer, Marc / Zoberbier, Ralph / Nguyen, David / Brugger, Juergen et al. | 2014
- 90520H
-
The solution to enhance i-line stepper applications by improving mix and match process overlay accuracySumiyoshi, Yuhei / Sasaki, Ryo / Hasegawa, Yasuo / Ushiku, Kentaro / Sano, Hirotaka / Shigenobu, Atsushi / Takeshita, Bunsuke / Miura, Seiya et al. | 2014
- 90520I
-
Built-in lens mask lithographyUeda, Naoki / Sasago, Masaru / Misaka, Akio / Kikuta, Hisao / Kawata, Hiroaki / Hirai, Yoshihiko et al. | 2014
- 90520J
-
Automated sample plan selection for OPC modelingCasati, Nathalie / Gabrani, Maria / Viswanathan, Ramya / Bayraktar, Zikri / Jaiswal, Om / DeMaris, David / Abdo, Amr Y. / Oberschmidt, James / Krause, Andreas et al. | 2014
- 90520L
-
Shot overlap model-based fracturing for edge-based OPC layoutsJiang, Shangliang / Zakhor, Avideh et al. | 2014
- 90520M
-
11nm logic lithography with OPC-liteSmayling, Michael C. / Tsujita, Koichiro / Yaegashi, Hidetami / Axelrad, Valery / Nakayama, Ryo / Oyama, Kenichi / Hara, Arisa et al. | 2014
- 90520N
-
Model-based OPC using the MEEF matrix IILei, Junjiang / Hong, Le / Lippincott, George / Word, James et al. | 2014
- 90520O
-
Immersion lithography extension to sub-10nm nodes with multiple patterningOwa, Soichi / Wakamoto, Shinji / Murayama, Masayuki / Yaegashi, Hidetami / Oyama, Kenichi et al. | 2014
- 90520P
-
Hybrid lithography for triple patterning decomposition and E-beam lithographyTian, Haitong / Zhang, Hongbo / Xiao, Zigang / Wong, Martin D. F. et al. | 2014
- 90520Q
-
Metal1 patterning study for random-logic applications with 193i, using calibrated OPC for litho and etchMailfert, Julien / Van de Kerkhove, Jeroen / De Bisschop, Peter / De Meyer, Kristin et al. | 2014
- 90520R
-
Pattern fidelity in multiple-patterning processYamato, Masatoshi / Natori, Sakurako / Yamauchi, Shohei / Hara, Arisa / Oyama, Kenichi / Yaegashi, Hidetami et al. | 2014
- 90520S
-
Joint optimization of source, mask, and pupil in optical lithographyLi, Jia / Lam, Edmund Y. et al. | 2014
- 90520T
-
Efficient source polarization optimization for robust optical lithographyMa, Xu / Gao, Jie / Han, Chunying / Li, Yanqiu / Dong, Lisong / Liu, Lihui et al. | 2014
- 90520U
-
Characterization and mitigation of overlay error on silicon wafers with nonuniform stressBrunner, T. / Menon, V. / Wong, C. / Felix, N. / Pike, M. / Gluschenkov, O. / Belyansky, M. / Vukkadala, P. / Veeraraghavan, S. / Klein, S. et al. | 2014
- 90520V
-
Analysis of overlay errors induced by exposure energy in negative tone development process for photolithographyKim, Young Ha / Kim, Jang-Sun / Kim, Young-Hoon / Cho, Byeong-Ok / Choi, Jinphil / Kang, Young Seog / Ha, Hunhwan et al. | 2014
- 90520W
-
Hybrid OPC modeling with SEM contour technique for 10nm node processHitomi, Keiichiro / Halle, Scott / Miller, Marshal / Graur, Ioana / Saulnier, Nicole / Dunn, Derren / Okai, Nobuhiro / Hotta, Shoji / Yamaguchi, Atsuko / Komuro, Hitoshi et al. | 2014
- 90520X
-
Improving 3D resist profile compact modeling by exploiting 3D resist physical mechanismsFan, Yongfa / Wu, Cheng-En R. / Ren, Qian / Song, Hua / Schmoeller, Thomas et al. | 2014
- 90520Y
-
Resist profile simulation with fast lithography modelHe, Yan-Ying / Chou, Chih-Shiang / Tang, Yu-Po / Huang, Wen-Chun / Liu, Ru-Gun / Gau, Tsai-Sheng et al. | 2014
- 90520Z
-
Modeling the lithography of ion implantation resists on topographyWinroth, Gustaf / Vaglio Pret, Alessandro / Ercken, Monique / Robinson, Stewart A. / Biafore, John J. et al. | 2014
- 90521A
-
Computational lithography platform for 193i-guided directed self-assemblyLai, Kafai / Ozlem, Melih / Pitera, Jed W. / Liu, Chi-chun / Schepis, Anthony / Dechene, Daniel / Krasnoperova, Azalia / Brue, Daniel / Abdallah, Jassem / Tsai, Hsinyu et al. | 2014
- 90521B
-
Applying ILT mask synthesis for co-optimizing design rules and DSA process characteristicsDam, Thuc / Stanton, William et al. | 2014
- 90521C
-
Rigorous simulation and optimization of the lithography/directed self-assembly co-processFühner, Tim / Welling, Ulrich / Müller, Marcus / Erdmann, Andreas et al. | 2014
- 90521D
-
Critical assessment of the transport of intensity equation as a phase recovery technique in optical lithographyShanker, Aamod / Sczyrba, Martin / Connolly, Brid / Kalk, Franklin / Neureuther, Andy / Waller, Laura et al. | 2014
- 90521E
-
Extremely long life and low-cost 193nm excimer laser chamber technology for 450mm wafer multipatterning lithographyTsushima, Hiroaki / Katsuumi, Hisakazu / Ikeda, Hiroyuki / Asayama, Takeshi / Kumazaki, Takahito / Kurosu, Akihiko / Ohta, Takeshi / Kakizaki, Kouji / Matsunaga, Takashi / Mizoguchi, Hakaru et al. | 2014
- 90521F
-
Immersion scanners enabling 10nm half-pitch production and beyondEgashira, Hiroyuki / Uehara, Yusaku / Shirata, Yosuke / Shibazaki, Yuichi / Ishikawa, Jun / Funatsu, Takayuki / Ohba, Masanori et al. | 2014
- 90521H
-
Improvements in bandwidth and wavelength control for XLR 660xi systemsConley, Will / Dao, Hoang / Dunlap, David / Flores, Ronnie P. / Lake, Matt / O'Brien, Kevin / Russin, Alicia / Simic, Aleks / Thornes, Josh / Wehrung, Brian et al. | 2014
- 90521I
-
Estimation of 1D proximity budget impacts due to light source for advanced node designPeng, R. C. / Wu, Tony / Liu, H. H. et al. | 2014
- 90521J
-
In situ aberration measurement method using a phase-shift ring maskWang, Xiangzhao / Li, Sikun / Yang, Jishuo / Tang, Feng / Yan, Guanyong / Erdmann, Andreas et al. | 2014
- 90521L
-
A defocus measurement method for an in situ aberration measurement method using a phase-shift ring maskLi, Sikun / Wang, Xiangzhao / Yang, Jishuo / Tang, Feng / Yan, Guanyong / Erdmann, Andreas et al. | 2014
- 90521M
-
Alternative method for variable aspect ratio vias using a vortex maskSchepis, Anthony R. / Levinson, Zac / Burbine, Andrew / Smith, Bruce W. et al. | 2014
- 90521N
-
Process window enhancement using advanced RET techniques for 20nm contact layerPing, Yang / McGowan, Sarah / Gong, Ying / Foong, Yee Mei / Liu, Jian / Qiu, Jianhong / Shu, Vincent / Yan, Bo / Ye, Jun / Li, Pengcheng et al. | 2014
- 90521O
-
Mitigating mask roughness via pupil filteringBaylav, B. / Maloney, C. / Levinson, Z. / Bekaert, J. / Vaglio Pret, A. / Smith, B. et al. | 2014
- 90521P
-
Understanding the critical challenges of self-aligned octuple patterningYu, Ji / Xiao, Wei / Kang, Weiling / Chen, Yijian et al. | 2014
- 90521Q
-
A generalized edge-placement yield model for the cut-hole patterning processZhang, Pan / Hong, Chuyang / Chen, Yijian et al. | 2014
- 90521R
-
Dual photoresist complimentary lithography technique produces sub-micro patterns on sapphire substratesChang, Chun-Ming / Tseng, Shih-Feng / Lee, Chao-Te / Hsiao, Wen-Tse / Yeh, Jer-Liang A. / Chiang, Donyau et al. | 2014
- 90521S
-
TCO less dye-sensitized solar cell lithographic methods for injecting the electrolyteKi, Hyun Chul / Jung, Hyang Yoon / Kim, Seon Hoon / Kim, Doo-Gun / Kim, Tae-Un / Kim, Hwe Jong et al. | 2014
- 90521T
-
UV-LED exposure system for low-cost photolithographyYapici, Murat Kaya / Farhat, Ilyas et al. | 2014
- 90521U
-
Micro-optics: enabling technology for illumination shaping in optical lithographyVoelkel, Reinhard et al. | 2014
- 90521W
-
Model-based pattern dummy generation for logic devicesJang, Jongwon / Kim, Cheolkyun / Ko, Sungwoo / Byun, Seokyoung / Yang, Hyunjo / Yim, Donggyu et al. | 2014
- 90521X
-
Adaptive OPC approach based on pattern grouping algorithmHu, C. M. / Hsuan, C. T. / Hsieh, H. Y. / Lo, Fred / Yang, Elvis / Yang, T. H. / Chen, K. C. / Lu, Chih-Yuan et al. | 2014
- 90521Y
-
Study of the pattern aware OPCYeh, Shin-Shing / Zhu, Alan / Chen, James / Yenikaya, Bayram / Chang, Yi-Shiang / Lin, Chia-Chi et al. | 2014
- 90522A
-
Pattern environment impact on wafer of metal layers with high-NA process on advanced nodeHuang, Chain Ting / Cheng, Yung Feng / Chen, Ming Jui et al. | 2014
- 90522C
-
Effective simulation for robust inverse lithography using convolution-variation separation methodLv, Wen / Liu, Shiyuan / Zhou, Xinjiang / Wei, Haiqing et al. | 2014
- 90522D
-
Hybrid inverse lithography techniques for advanced hierarchical memoriesXiao, Guangming / Hooker, Kevin / Irby, Dave / Zhang, Yunqiang / Ward, Brian / Cecil, Tom / Hall, Brett / Lee, Mindy / Kim, Dave / Lucas, Kevin et al. | 2014
- 90522E
-
Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processesMoriya, Masato / Ochiai, Hideyuki / Watabe, Yoshinobu / Ishida, Keisuke / Masuda, Hiroyuki / Sasaki, Youichi / Kumazaki, Takahito / Kurosu, Akihiko / Ohta, Takeshi / Kakizaki, Kouji et al. | 2014
- 90522F
-
A temperature control algorithm of immersion liquid for immersion lithographyHe, Junwei / Li, Xiaoping / Lei, Min / Chen, Bing / Wang, Jinchun et al. | 2014
- 90522G
-
Novel wafer stepper with violet LED light sourceTing, Yung-Chiang / Shy, Shyi-Long et al. | 2014
- 90522H
-
Illumination system without scanning slit for lithographic toolsZhang, Yunbo / Zeng, Aijun / Wang, Ying / Chen, Mingxing / Zhang, Shanhua / Yuan, Qiao / Huang, Huijie et al. | 2014
- 90522I
-
Glass ceramic ZERODUR enabling nanometer precisionJedamzik, Ralf / Kunisch, Clemens / Nieder, Johannes / Westerhoff, Thomas et al. | 2014
- 90522J
-
Advanced excimer laser technologies enable green semiconductor manufacturingFukuda, Hitomi / Yoo, Youngsun / Minegishi, Yuji / Hisanaga, Naoto / Enami, Tatsuo et al. | 2014
- 90522K
-
Flexible power 90W to 120W ArF immersion light source for future semiconductor lithographyBurdt, R. / Thornes, J. / Duffey, T. / Bibby, T. / Rokitski, R. / Mason, E. / Melchior, J. / Aggarwal, T. / Haran, D. / Wang, J. et al. | 2014
- 905201
-
Front Matter: Volume 9052| 2014
- 905204
-
The saga of sigma: influences of illumination throughout optical generationsSmith, Bruce W. et al. | 2014
- 905205
-
The impact of Mask 3D and Resist 3D effects in optical lithographyFinders, Jo et al. | 2014
- 905206
-
Topographic and other effects on EUV pattern fidelitySarma, Chandra / Graves, Trey / Neisser, Mark / Robertson, Stewart et al. | 2014
- 905208
-
Advanced OPC Mask-3D and Resist-3D modelingSzucs, A. / Planchot, J. / Farys, V. / Yesilada, E. / Depre, L. / Kapasi, S. / Gourgon, C. / Besacier, M. / Mouraille, O. / Driessen, F. et al. | 2014
- 905209
-
Study of lens heating behavior and thick mask effects with a computational methodJia, Ningning / Yang, Seung-Hune / Kim, Sangwook / Choi, Jungdal et al. | 2014
- 905211
-
Fast detection of novel problematic patterns based on dictionary learning and prediction of their lithographic difficultyde Morsier, F. / DeMaris, D. / Gabrani, M. / Casati, N. et al. | 2014
- 905212
-
Pattern-based full-chip process verificationYing, Changsheng / Kwon, Yongjun / Fornari, Paul / Perçin, Gökhan / Liu, Anwei et al. | 2014
- 905213
-
Characterization of 1D layout technology at advanced nodes and low k1Axelrad, V. / Mikami, K. / Smayling, M. / Tsujita, K. / Yaegashi, H. et al. | 2014
- 905215
-
Availability study of CFD-based Mask3D simulation method for next generation lithography technologiesTakahashi, M. / Kawabata, Y. / Washitani, T. / Tanaka, S. / Maeda, S. / Mimotogi, S. et al. | 2014
- 905216
-
Rapid, accurate improvement in 3D mask representation via input geometry optimization and crosstalkFryer, David / Lam, Michael / Adam, Kostas / Clifford, Chris / Oliver, Mike / Zuniga, Christian / Sturtevant, John / Wang, ChangAn / Mansfield, Scott et al. | 2014
- 905217
-
Fixing the focus shift caused by 3D mask diffractionYenikaya, Bayram / Chuyeshov, Constantin / Bakir, Onur / Han, Youngae et al. | 2014
- 905218
-
Impact of topographic mask models on scanner matching solutionsTyminski, Jacek K. / Pomplun, Jan / Renwick, Stephen P. et al. | 2014
- 905220
-
Full-chip model-based OPC verification by using rigorous resist 3D modelKong, Dongho / You, Taejun / Kim, Cheolkyun / Yang, Hyunjo / Yim, Donggyu et al. | 2014
- 905221
-
Effect of mask 3D and scanner focus difference on OPC modeling and verificationNing, GuoXiang / Cheng, Jacky / Kropinov, Sergey / Litt, Lloyd C. / Zhang, Dongqing / Ackmann, Paul / Foong, Yee Mei et al. | 2014
- 905222
-
Combining lithography and etch models in OPC modelingZavyalova, Lena V. / Luan, Lan / Song, Hua / Schmoeller, Thomas / Shiely, James P. et al. | 2014
- 905223
-
Fast integral rigorous modeling applied to wafer topography effect prediction on 2x nm bulk technologiesMichel, J.-C. / Le Denmat, J.-C. / Tishchenko, A. / Jourlin, Y. et al. | 2014
- 905224
-
Bringing SEM-contour based OPC to productionWeisbuch, François / Koh, Kar Kit / Jantzen, Kenneth et al. | 2014
- 905225
-
A stochastic approach to SRAF printing predictionLutich, Andrey et al. | 2014
- 905227
-
Resist toploss modeling for OPC applicationsZuniga, Christian / Deng, Yunfei et al. | 2014
- 905228
-
Improving on-wafer CD correlation analysis using advanced diagnostics and across-wafer light-source monitoringAlagna, Paolo / Zurita, Omar / Rechtsteiner, Gregory / Lalovic, Ivan / Bekaert, Joost et al. | 2014
- 905229
-
Study on abnormal intra-field CD uniformity induced by Efese-tilt application upon complex leveling schemeDeng, Guogui / Hao, Jingan / Cai, Boxiu / Xing, Bin / Yao, Xin / Zhang, Qiang / Li, Tianhui / Lin, Yi-Shih / Wu, Qiang / Shi, Xuelong et al. | 2014
-
Topographic and other effects on EUV pattern fidelity [9052-5]Sarma, C. / Graves, T. / Neisser, M. / Robertson, S. / SPIE (Society) et al. | 2014
-
The solution to enhance i-line stepper applications by improving mix and match process overlay accuracy [9052-16]Sumiyoshi, Y. / Sasaki, R. / Hasegawa, Y. / Ushiku, K. / Sano, H. / Shigenobu, A. / Takeshita, B. / Miura, S. / SPIE (Society) et al. | 2014
-
Rigorous simulation and optimization of the lithography/directed self-assembly co-process [9052-48]Fuhner, T. / Welling, U. / Muller, M. / Erdmann, A. / SPIE (Society) et al. | 2014
-
Estimation of 1D proximity budget impacts due to light source for advanced node design [9052-54]Peng, R.C. / Wu, T. / Liu, H.H. / SPIE (Society) et al. | 2014
-
UV-LED exposure system for low-cost photolithography [9052-66]Yapici, M.K. / Farhat, I. / SPIE (Society) et al. | 2014
-
Combining lithography and etch models in OPC modeling [9052-76]Zavyalova, L. / Luan, L. / Song, H. / Schmoeller, T. / Shiely, J. / SPIE (Society) et al. | 2014
-
Availability study of CFD-based Mask3D simulation method for next generation lithography technologies [9052-41]Takahashi, M. / Kawabata, Y. / Washitani, T. / Tanaka, S. / Maeda, S. / Mimotogi, S. / SPIE (Society) et al. | 2014
-
A generalized edge-placement yield model for the cut-hole patterning process [9052-62]Zhang, P. / Hong, C. / Chen, Y. / SPIE (Society) et al. | 2014
-
Immersion lithography extension to sub-10nm nodes with multiple patterning [9052-23]Owa, S. / Wakamoto, S. / Murayama, M. / Yaegashi, H. / Oyama, K. / SPIE (Society) et al. | 2014
-
Efficient source polarization optimization for robust optical lithography [9052-28]Ma, X. / Gao, J. / Han, C. / Li, Y. / Dong, L. / Liu, L. / SPIE (Society) et al. | 2014
-
Hybrid OPC modeling with SEM contour technique for 10nm node process [9052-31]Hitomi, K. / Halle, S. / Miller, M. / Graur, I. / Saulnier, N. / Dunn, D. / Okai, N. / Hotta, S. / Yamaguchi, A. / Komuro, H. et al. | 2014
-
Analysis of overlay errors induced by exposure energy in negative tone development process for photolithography [9052-30]Kim, Y.H. / Kim, J.-S. / Kim, Y.-H. / Cho, B.-O. / Choi, J. / Kang, Y.S. / Ha, H. / SPIE (Society) et al. | 2014
-
Resist profile simulation with fast lithography model [9052-33]He, Y.-Y. / Chou, C.-S. / Tang, Y.-P. / Huang, W.-C. / Liu, R.-G. / Gau, T.-S. / SPIE (Society) et al. | 2014
-
Fixing the focus shift caused by 3D mask diffraction [9052-43]Yenikaya, B. / Chuyeshov, C. / Bakir, O. / Han, Y. / SPIE (Society) et al. | 2014
-
A defocus measurement method for an in situ aberration measurement method using a phase-shift ring mask [9052-57]Li, S. / Wang, X. / Yang, J. / Tang, F. / Yan, G. / Erdmann, A. / SPIE (Society) et al. | 2014
-
Flexible power 90W to 120W ArF immersion light source for future semiconductor lithography [9052-51]Burdt, R. / Duffey, T. / Thornes, J. / Bibby, T. / Rokitski, R. / Mason, E. / Melchior, J. / Aggarwal, T. / Haran, D. / Wang, J. et al. | 2014
-
The sage of sigma: influences of illumination throughout optical generations (Invited Paper) [9052-3]Smith, B.W. / SPIE (Society) et al. | 2014
-
Model-based OPC using the MEEF matrix II [9052-22]Lei, J. / Hong, L. / Lippincott, G. / Word, J. / SPIE (Society) et al. | 2014
-
Model-based pattern dummy generation for logic devices [9052-70]Jang, J. / Kim, C. / Ko, S. / Byun, S. / Yang, H. / Yim, D. / SPIE (Society) et al. | 2014
-
Effective simulation for robust inverse lithography using convolution-variation separation method [9052-86]Lv, W. / Liu, S. / Zhou, X. / Wei, H. / SPIE (Society) et al. | 2014
-
The impact of Mask 3D and Resist 3D effects in optical lithography [9052-4]Finders, J. / SPIE (Society) et al. | 2014
-
Scanner performance predictor and optimizer in further low-k1 lithography [9052-9]Aoyama, H. / Nakashima, T. / Ogata, T. / Kudo, S. / Kita, N. / Ikeda, J. / Matsui, R. / Yamamoto, H. / Sukegawa, A. / Makino, K. et al. | 2014
-
193nm immersion lithography for high-performance silicon photonic circuits (Invited Paper) [9052-14]Selvaraja, S.K. / Winroth, G. / Locorotondo, S. / Murdoch, G. / Milenin, A. / Delvaux, C. / Ong, P. / Pathak, S. / Xie, W. / Sterckx, G. et al. | 2014
-
Built-in lens mask lithography [9052-17]Ueda, N. / Sasago, M. / Misaka, A. / Kikuta, H. / Kawata, H. / Hirai, Y. / SPIE (Society) et al. | 2014
-
Critical assessment of the transport of intensity equation as a phase recovery technique in optical lithography [9052-49]Shanker, A. / Sczyrba, M. / Connolly, B. / Kalk, F. / Neureuther, A. / Waller, L. / SPIE (Society) et al. | 2014
-
Micro-optics: enabling technology for illumination shaping in optical lithography [9052-67]Voelkel, R. / SPIE (Society) et al. | 2014
-
Bringing SEM contour based OPC to production [9052-78]Weisbuch, F. / Koh, K.K. / Jantzen, K. / SPIE (Society) et al. | 2014
-
Automated sample plan selection for OPC modeling [9052-18]Casati, N. / Gabrani, M. / Viswanathan, R. / Bayraktar, Z. / Jaiswal, O. / DeMaris, D. / Abdo, A.Y. / Oberschmidt, J. / Krause, A. / SPIE (Society) et al. | 2014
-
Hybrid lithography for triple patterning decomposition and E-beam lithography [9052-24]Tian, H. / Zhang, H. / Xiao, Z. / Wong, M.F. / SPIE (Society) et al. | 2014
-
Computational lithography platform for 193i-guided directed self-assembly [9052-46]Lai, K. / Ozlem, M. / Pitera, J. / Liu, C. / Schepis, A. / Dechene, D. / Krasnoperova, A. / Brue, D. / Abdallah, J. / Tsai, H. et al. | 2014
-
Hybrid inverse lithography techniques for advanced hierarchical memories [9052-87]Xiao, G. / Hooker, K. / Irby, D. / Zhang, Y. / Ward, B. / Cecil, T. / Hall, B. / Lee, M. / Kim, D. / Lucas, K. et al. | 2014
-
Pattern-based full-chip process verification [9052-38]Ying, C. / Kwon, Y. / Fornari, P. / Percin, G. / Liu, A. / SPIE (Society) et al. | 2014
-
Rapid accurate improvement in 3D mask representation via input geometry optimization and crosstalk [9052-42]Fryer, D. / Lam, M. / Adam, K. / Clifford, C. / Oliver, M. / Zuniga, C. / Sturtevant, J. / Wang, C. / Mansfield, S. / SPIE (Society) et al. | 2014
-
Impact of topographic mask models on scanner matching solutions [9052-44]Tyminski, J.K. / Pomplun, J. / Renwick, S.P. / SPIE (Society) et al. | 2014
-
Study of the pattern aware OPC [9052-72]Yeh, S.-S. / Zhu, A. / Chen, J. / Yenikaya, B. / Chang, Y.-S. / Lin, C.-C. / SPIE (Society) et al. | 2014
-
Fast integral rigorous modeling applied to wafer topography effect prediction on 2x nm bulk technologies [9052-77]Michel, J.-C. / Le Denmat, J.-C. / Tischenko, A. / Jourlin, Y. / SPIE (Society) et al. | 2014
-
Study on abnormal intra-field CD uniformity induced by Efese-tilt application upon complex leveling scheme [9052-83]Deng, G. / Hao, J. / Cai, B. / Xing, B. / Yao, X. / Zhang, Q. / Li, T. / Lin, Y.-S. / Wu, Q. / Shi, X. et al. | 2014
-
Novel wafer stepper with violet LED light source [9052-90]Ting, Y.-C. / Shy, S.-L. / SPIE (Society) et al. | 2014
-
Shot overlap model-based fracturing for edge-based OPC layouts [9052-20]Jiang, S. / Zakhor, A. / SPIE (Society) et al. | 2014
-
Metal1 patterning study for random-logic applications with 193i, using calibrated OPC for litho and etch [9052-25]Mailfert, J. / de Kerkhove, J.V. / De Bisschop, P. / De Meyer, K. / SPIE (Society) et al. | 2014
-
Modeling the lithography of ion implantation resists on topography [9052-34]Winroth, G. / Pret, A.V. / Ercken, M. / Robinson, S.A. / Biafore, J.J. / SPIE (Society) et al. | 2014
-
Characterization of 1D layout technology at advanced nodes and low k1 [9052-39]Axelrad, V. / Mikami, K. / Smayling, M. / Tsujita, K. / Yaegashi, H. / SPIE (Society) et al. | 2014
-
Extremely long life and low-cost 193nm excimer laser chamber technology for 450mm wafer multipatterning lithography [9052-50]Tsushima, H. / Katsuumi, H. / Ikeda, H. / Asayama, T. / Kumazaki, T. / Kurosu, A. / Ohta, T. / Kakizaki, K. / Matsunaga, T. / Mizoguchi, H. et al. | 2014
-
Process window enhancement using advanced RET techniques for 20nm contact layer [9052-59]Ping, Y. / McGowan, S. / Gong, Y. / Foong, Y.M. / Liu, J. / Qiu, J. / Shu, V. / Yan, B. / Ye, J. / Li, P. et al. | 2014
-
Adaptive OPC approach based on pattern grouping algorithm [9052-71]Hu, C.M. / Hsuan, C.T. / Hsieh, H.Y. / Lo, F. / Yang, E. / Yang, T.H. / Chen, K.C. / Lu, C.-Y. / SPIE (Society) et al. | 2014
-
Pattern environment impact on wafer of metal layers with high-NA process on advanced node [9052-84]Huang, C.T. / Cheng, Y.F. / Chen, M.J. / SPIE (Society) et al. | 2014
-
Advanced OPC Mask-3D and Resist-3D modeling [9052-7]Szucs, A. / Planchot, J. / Farys, V. / Yesilada, E. / Depre, L. / Kapasi, S. / Gourgon, C. / Besacier, M. / Mouraille, O. / Driessen, F. et al. | 2014
-
Study of lens heating behavior and thick mask effects with a computational method [9052-8]Jia, N. / Yang, S.-H. / Kim, S. / Choi, J. / SPIE (Society) et al. | 2014
-
Characterization and mitigation of overlay error on silicon wafers with nonuniform stress [9052-29]Brunner, T. / Menon, V. / Wong, C. / Felix, N. / Pike, M. / Gluschenkov, O. / Belyansky, M. / Vukkadala, P. / Veeraraghavan, S. / Klein, S. et al. | 2014
-
Applying ILT mask synthesis for co-optimizing design rules and DSA process characteristics [9052-47]Dam, T. / Stanton, W. / SPIE (Society) et al. | 2014
-
Improvements in bandwidth and wavelength control for XLR 660xi systems [9052-93]Conley, W. / Dao, H. / Dunlap, D. / Flores, R. / Lake, M. / O Brien, K. / Russin, A. / Simic, A. / Thornes, J. / Wehrung, B. et al. | 2014
-
Effect of mask 3D and scanner focus difference on OPC modeling and verification [9052-75]Ning, G. / Cheng, J. / Kropinov, S. / Litt, L.C. / Zhang, D. / Ackmann, P. / Foong, Y.M. / SPIE (Society) et al. | 2014
-
Full-chip model-based OPC verification by using rigorous resist 3D model [9052-74]Kong, D. / You, T. / Kim, C. / Yang, H. / Yim, D. / SPIE (Society) et al. | 2014
-
Improving on-wafer CD correlation analysis using advanced diagnostics and across-wafer light-source monitoring [9052-82]Alagna, P. / Zurita, O. / Rechtsteiner, G. / Lalovic, I. / Bekaert, J. / SPIE (Society) et al. | 2014
-
A temperature control algorithm of immersion liquid for immersion lithography [9052-89]He, J. / Li, X. / Lei, M. / Chen, B. / Wang, J. / SPIE (Society) et al. | 2014
-
Lithographic process window optimization for mask aligner proximity lithography [9052-15]Voelkel, R. / Vogler, U. / Bramati, A. / Erdmann, A. / Unal, N. / Hofmann, U. / Hennemeyer, M. / Zoberbier, R. / Nguyen, D. / SPIE (Society) et al. | 2014
-
Joint optimization of source, mask, and pupil in optical lithography [9052-27]Li, J. / Lam, E.Y. / SPIE (Society) et al. | 2014
-
Improving 3D resist profile compact modeling by exploiting 3D resist physical mechanisms [9052-32]Fan, Y. / Wu, C.-E.R. / Ren, Q. / Song, H. / Schmoeller, T. / SPIE (Society) et al. | 2014
-
Alternative method for variable aspect ratio vias using a vortex mask [9052-58]Schepis, A.R. / Levinson, Z. / Burbine, A. / Smith, B.W. / SPIE (Society) et al. | 2014
-
Understanding the critical challenges of self-aligned octuple patterning [9052-61]Yu, J. / Xiao, W. / Kang, W. / Chen, Y. / SPIE (Society) et al. | 2014
-
Dual photoresist complimentary lithography technique produces sub-micro patterns on sapphire substrates [9052-63]Chang, C.-M. / Tseng, S.-F. / Lee, C.-T. / Hsiaop, W.-T. / Yeh, J.-L.A. / Chiang, D. / SPIE (Society) et al. | 2014
-
Imaging control functions of optical scanners [9052-10]Nishinaga, H. / Hirayama, T. / Fujii, D. / Yamamoto, H. / Irihama, H. / Ogata, T. / Koizumi, Y. / Suzuki, K. / Fujishima, Y. / Matsuyama, T. et al. | 2014
-
Experimental validation of rigorous 3D profile models for negative-tone develop resists [9052-11]Gao, W. / Klostermann, U. / Kamohara, I. / Schmoeller, T. / Lucas, K. / Demmerle, W. / De Bisschop, P. / Mailfert, J. / SPIE (Society) et al. | 2014
-
Pattern fidelity in multiple-patterning process [9052-26]Yamato, M. / Natori, S. / Yamauchi, S. / Hara, A. / Oyama, K. / Yaegashi, H. / SPIE (Society) et al. | 2014
-
Immersion scanners enabling 10nm half-pitch production and beyond [9052-52]Egashira, H. / Uehara, Y. / Shirata, Y. / Shibazaki, Y. / Ishikawa, J. / Funatsu, T. / Ohba, M. / SPIE (Society) et al. | 2014
-
Resist toploss modeling for OPC applications [9052-81]Zuniga, C. / Deng, Y. / SPIE (Society) et al. | 2014
-
Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes [9052-88]Moriya, M. / Ochiai, H. / Watabe, Y. / Ishida, K. / Masuda, H. / Sasaki, Y. / Kumazaki, T. / Kurosu, A. / Ohta, T. / Kakizaki, K. et al. | 2014
-
Illumination system without scanning slit for lithographic tools [9052-91]Zhang, Y. / Zeng, A. / Wang, Y. / Chen, M. / Zhang, S. / Yuan, Q. / Huang, H. / SPIE (Society) et al. | 2014
-
Glass ceramic ZERODUR enabling nanometer precision [9052-92]Jedamzik, R. / Kunisch, C. / Nieder, J. / Westerhoff, T. / SPIE (Society) et al. | 2014
-
Wafer sub-layer impact in OPC/ORC models for advanced node implant layers [9052-12]Le-Denmat, J.-C. / Michel, J.-C. / Sungauer, E. / Yesilada, E. / Robert, F. / Lan, S. / Feng, M. / Wang, L. / Depre, L. / Kapasi, S. et al. | 2014
-
11nm logic lithography with OPC-lite [9052-21]Smayling, M.C. / Tsujita, K. / Yaegashi, H. / Axelrad, V. / Nakayama, R. / Oyama, K. / Hara, A. / SPIE (Society) et al. | 2014
-
Fast detection of novel problematic patterns based on dictionary learning and prediction of their lithographic difficulty [9052-37]de Morsier, F. / DeMaris, D. / Gabrani, M. / Casati, N. / SPIE (Society) et al. | 2014
-
In situ aberration measurement method using a phase-shift ring mask [9052-55]Wang, X. / Li, S. / Yang, J. / Tang, F. / Yan, G. / Erdmann, A. / SPIE (Society) et al. | 2014
-
Mitigating mask roughness via pupil filtering [9052-60]Baylav, B. / Maloney, C. / Levinson, Z. / Bekaert, J. / Pret, A.V. / Smith, B. / SPIE (Society) et al. | 2014
-
TCO less dye-sensitized solar cell lithographic methods for injecting the electrolyte [9052-65]Ki, H.C. / Jung, H.Y. / Kim, S.H. / Kim, D.-G. / Kim, T.-U. / Kim, H.J. / SPIE (Society) et al. | 2014
-
A stochastic approach to SRAF printing prediction [9052-79]Lutich, A. / SPIE (Society) et al. | 2014
-
Advanced excimer laser technologies enable green semiconductor manufacturing [9052-94]Fukuda, H. / Yoo, Y. / Minegishi, Y. / Hisanaga, N. / Enami, T. / SPIE (Society) et al. | 2014