CD-SEM characterization of reticle-level pattern density effects (Englisch)
- Neue Suche nach: Yip, Raymond
- Neue Suche nach: Chu, Mico
- Neue Suche nach: Fu, Steven
- Neue Suche nach: Castro, Dave
- Neue Suche nach: Ng, Waiman
- Neue Suche nach: Anderson, Geoffrey T.
- Neue Suche nach: Sherrill, Micheal J.
- Neue Suche nach: Chen, Norman
- Neue Suche nach: Ku, Yao Ching
- Neue Suche nach: Yip, Raymond
- Neue Suche nach: Chu, Mico
- Neue Suche nach: Fu, Steven
- Neue Suche nach: Castro, Dave
- Neue Suche nach: Ng, Waiman
- Neue Suche nach: Anderson, Geoffrey T.
- Neue Suche nach: Sherrill, Micheal J.
- Neue Suche nach: Chen, Norman
- Neue Suche nach: Ku, Yao Ching
In:
Proc. SPIE
;
3873
; 262
;
1999
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:CD-SEM characterization of reticle-level pattern density effects
-
Beteiligte:Yip, Raymond ( Autor:in ) / Chu, Mico ( Autor:in ) / Fu, Steven ( Autor:in ) / Castro, Dave ( Autor:in ) / Ng, Waiman ( Autor:in ) / Anderson, Geoffrey T. ( Autor:in ) / Sherrill, Micheal J. ( Autor:in ) / Chen, Norman ( Autor:in ) / Ku, Yao Ching ( Autor:in )
-
Kongress:19th Annual Symposium on Photomask Technology ; 1999 ; Monterey,CA,USA
-
Erschienen in:Proc. SPIE ; 3873 ; 262
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:30.12.1999
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 2
-
Electron-beam lithography simulation for mask making: V. Impact of GHOST proximity effect correction on process window [3873-02]Mack, C. A. / Sauer, C. A. / SPIE et al. | 1999
- 2
-
Electron-beam lithography simulation for mask making: V. Impact of GHOST proximity effect correction on process windowMack, Chris A. / Sauer, Charles A. et al. | 1999
- 21
-
Advanced e-beam lithography system JBX-9000MV for 180-nm masks [3873-03]Takemura, H. / Komagata, T. / Nakagawa, Y. / Tananka, K. / SPIE et al. | 1999
- 21
-
Advanced e-beam lithography system JBX-9000MV for 180-nm masksTakemura, Hitoshi / Komagata, Tadashi / Nakagawa, Yasutoshi / Tanaka, Kazumitsu et al. | 1999
- 28
-
CD performance of a new high-resolution laser pattern generator [3873-04]Liden, P. / Vikholm, T. / Kjellberg, L. / Bjuggren, M. / Edgren, K. A. / Larsson, J. / Haddleton, S. / Askebjer, P. / SPIE et al. | 1999
- 28
-
CD performance of a new high-resolution laser pattern generatorLiden, Per / Vikholm, Tomas / Kjellberg, Lars / Bjuggren, Mans / Edgren, Klas A. / Larson, John-Oscar / Haddleton, Steven / Askebjer, Per et al. | 1999
- 36
-
Extension of graybeam writing for the 130-nm technology node [3873-05]Chabala, J. M. / Abboud, F. E. / Sauer, C. A. / Weaver, S. / Lu, M. / Pearce-Percy, H. T. / Hofmann, U. / Vernon, M. / Ton, D. / Cole, D. M. et al. | 1999
- 36
-
Extension of graybeam writing for the 130-nm technology nodeChabala, Jan M. / Abboud, Frank E. / Sauer, Charles A. / Weaver, Suzanne / Lu, Maiying / Pearce-Percy, Henry T. / Hofmann, Ulrich / Vernon, Matthew / Ton, Dinh / Cole, Damon M. et al. | 1999
- 49
-
Improved critical dimension control in 0.8-NA laser reticle writers [3873-06]Hamaker, H. C. / Valetin, G. E. / Martyniuk, J. / Martinez, B. G. / Pochkowski, J. M. / Hodgson, L. D. / SPIE et al. | 1999
- 49
-
Improved critical dimension control in 0.8-NA laser reticle writersHamaker, Henry Chris / Valentin, Gregory E. / Martyniuk, Jerry / Martinez, Brenda G. / Pochkowski, Mike / Hodgson, Lorna D. et al. | 1999
- 66
-
Practical applications of IDEAL exposure method (Invited Paper) [3873-109]Hasegawa, M. / Saitoh, K. / Yoshii, M. / Suzuki, A. / SPIE et al. | 1999
- 66
-
Practical applications of IDEAL exposure methodHasegawa, Masanobu / Saitoh, Kenji / Yoshii, Minoru / Suzuki, Akiyoshi et al. | 1999
- 80
-
Characterization of a non-chemically amplified resist for photomask fabrication using a 257-nm optical pattern generator [3873-07]Rathsack, B. M. / Tabery, C. E. / Stachowiak, T. B. / Dallas, T. E. / Xu, C.-B. / Pochkowski, M. / Willson, C. G. / SPIE et al. | 1999
- 80
-
Characterization of a non-chemically amplified resist for photomask fabrication using a 257-nm optical pattern generatorRathsack, Benjamen M. / Tabery, Cyrus E. / Stachowiak, Timothy B. / Dallas, Tim E. / Xu, Cheng-Bai / Pochkowski, Mike / Willson, C. Grant et al. | 1999
- 93
-
Plasma etch of binary Cr masks: CD uniformity study of photomasks utilizing varying Cr loads [3873-10]Constantine, C. / Westerman, R. J. / Plumhoff, J. / SPIE et al. | 1999
- 93
-
Plasma etch of binary Cr masks: CD uniformity study of photomasks utilizing varying Cr loadsConstantine, Chris / Westerman, Russell J. / Plumhoff, Jason et al. | 1999
- 98
-
Dry etching technology of Cr films to produce fine-pattern reticles under 720 nm with ZEP-7000 [3873-11]Handa, H. / Yamauchi, S. / Hosono, K. / Miyahara, Y. / SPIE et al. | 1999
- 98
-
Dry etching technology of Cr films to produce fine-pattern reticles under 720 nm with ZEP-7000Handa, Hitoshi / Yamauchi, Satoshi / Hosono, Kouji / Miyahara, Yutaka et al. | 1999
- 108
-
Dry etch yield enhancement by use of after-develop inspection [3873-12]Kalk, F. D. / Brankner, K. J. / Peters, L. / Vacca, A. / Pomeroy, S. / Emery, D. / SPIE et al. | 1999
- 108
-
Dry etch yield enhancement by use of after-develop inspectionKalk, Franklin D. / Brankner, Keith J. / Peters, Lori / Vacca, Anthony / Pomeroy, Scott / Emery, David et al. | 1999
- 117
-
High-transmission PSM inspection sensitivityWu, Chunhung / Wang, David / Wang, Chien-Ming / Chen, Li-Jui / Chou, Shuo-Yen / Wu, Clare / Schumann, Nathan / Falah, Reuven / Staud, Wolfgang et al. | 1999
- 117
-
High-transmission PSM inspection sensitivity [3873-13]Wu, C.-H. / Wang, D. / Wang, C.-M. / Chen, L.-J. / Chou, S.-Y. / Wu, C. / Schumann, N. / Falah, R. / Staud, W. / SPIE et al. | 1999
- 127
-
Detection and repair of multiphase defects on alternating phase-shift masks for DUV lithographyNagashige, Susumu / Hayashi, Kohki / Akima, Shinji / Takahashi, Hiroyuki / Chiba, Kazuaki / Yamada, Yoshiro / Matsuzawa, Yuichi et al. | 1999
- 127
-
Detection and repair of multiphase defects on alternating phase-shift masks for DUV lithography [3873-14]Nagashige, S. / Hayashi, K. / Akima, S. / Takahashi, H. / Chiba, K. / Yamada, Y. / Matsuzawa, Y. / SPIE et al. | 1999
- 138
-
High-resolution DUV inspection system for 150-nm generation masksTabata, Mitsuo / Tsuchiya, Hideo / Sanada, Yasushi / Nishizaka, Takeshi / Hirazawa, Hiroaki / Kobayashi, Noboru / Nagai, Hideo / Watanabe, Tomohide / Oohashi, Katsuki / Inoue, Hiromu et al. | 1999
- 138
-
High-resolution DUV inspection system for 150-nm generation masks [3873-15]Tabata, M. / Tsuchiya, H. / Sanada, Y. / Nishizaka, T. / Hirazawa, H. / Kobayashi, N. / Nagai, H. / Watanabe, T. / Oohashi, K. / Inoue, H. et al. | 1999
- 148
-
High-resolution ultraviolet defect inspection of DAP (darkfield alternate phase) reticles [3873-16]Liebmann, L. W. / Mansfield, S. M. / Wong, A. K. / Smolinski, J. G. / Peng, S. / Kimmel, K. R. / Rudzinski, M. W. / Wiley, J. N. / Zurbrick, L. S. / SPIE et al. | 1999
- 148
-
High-resolution ultraviolet defect inspection of DAP (darkfield alternate phase) reticlesLiebmann, Lars W. / Mansfield, Scott M. / Wong, Alfred K. K. / Smolinski, Jacek G. / Peng, Song / Kimmel, Kurt R. / Rudzinski, Maciej W. / Wiley, James N. / Zurbrick, Larry S. et al. | 1999
- 162
-
Formation and detection of subpellicle defects by exposure to DUV system illuminationGrenon, Brian J. / Peters, Charles R. / Bhattacharyya, Kaustuve / Volk, William W. et al. | 1999
- 162
-
Formation and detection of subpellicle defects by exposure to DUV system illumination [3873-17]Grenon, B. J. / Peters, C. R. / Bhattacharyya, K. / Volk, W. / SPIE et al. | 1999
- 178
-
Definition of new quality criteria and assessment means for masks at 150-nm design rules and beyond [3873-18]Baracchi, E. / Bruck, H.-J. / Engel, T. / Eran, Y. / Lalanne, F. P. / Maurin, O. / Ordynskyy, V. / Schatz, T. / Sommer, K. / SPIE et al. | 1999
- 178
-
Definition of new quality criteria and assessment means for masks at 150-nm design rules and beyondBaracchi, Emanuele / Brueck, Hans-Juergen / Engel, Thomas / Eran, Yair / Lalanne, Frederic P. / Maurin, Olivier / Ordynskyy, Volodymyr / Schaetz, Thomas / Sommer, Karl et al. | 1999
- 189
-
MEEF in theory and practiceSchellenberg, Franklin M. / Mack, Chris A. et al. | 1999
- 189
-
MEEF in theory and practice [3873-19]Schellenberg, F. M. / Mack, C. A. / SPIE et al. | 1999
- 203
-
Novel high-speed approach for CD uniformity mapping and monitoring [3873-20]Hemar, S. / Sade, A. / Fandrich, J. / SPIE et al. | 1999
- 203
-
Novel high-speed approach for CD uniformity mapping and monitoringHemar, Shirley / Sade, Amikam / Fandrich, Juergen et al. | 1999
- 209
-
Techniques to detect and analyze photomask CD uniformity errors [3873-21]Vacca, A. / Ng, W. / Anderson, G. / Rockwell, B. / Dong, A. / Taylor, D. / SPIE et al. | 1999
- 209
-
Techniques to detect and analyze photomask CD uniformity errorsVacca, Anthony / Ng, Waiman / Anderson, Geoffrey T. / Rockwell, Barry / Dong, Aihua / Taylor, Darren et al. | 1999
- 215
-
Effects of mask error factor on process window capability [3873-22]Schurz, D. L. / Flack, W. W. / Cohen, S. J. / Newman, T. H. / Nguyen, K. T. / SPIE et al. | 1999
- 215
-
Effects of mask error factor on process window capabilitySchurz, Dan L. / Flack, Warren W. / Cohen, Simon J. / Newman, Thomas H. / Nguyen, Khiem T. et al. | 1999
- 228
-
System architecture choices for an advanced mask writer (100 to 130 nm) [3873-23]Chakarian, V. / Raymond, F. / Sauer, C. A. / Babin, S. V. / Innes, R. / Sagle, A. L. / Hofmann, U. / Shamoun, B. / Trost, D. / Ghanbari, A. et al. | 1999
- 228
-
System architecture choices for an advanced mask writer (100 to 130 nm)Chakarian, Varoujan / Raymond, Frederick / Sauer, Charles A. / Babin, Sergey V. / Innes, Robert / Sagle, Allan L. / Hofmann, Ulrich / Shamoun, Bassam / Trost, David / Ghanbari, Abe et al. | 1999
- 243
-
Cost analysis of 4 x and 6x 9-in. reticles for future lithography [3873-24]Litt, L. C. / Kling, M. E. / Perkinson, T. / SPIE et al. | 1999
- 243
-
Cost analysis of 4x and 6x 9-in. reticles for future lithographyLitt, Lloyd C. / Kling, Michael E. / Perkinson, Terry et al. | 1999
- 255
-
Fabrication of membrane mask for next-generation lithographyKim, Dong-Wan / Lera, Jared D. / Cho, Hanku / Moon, Joo-Tae et al. | 1999
- 255
-
Fabrication of membrane mask for next-generation lithography [3873-25]Kim, D. / Lera, J. D. / Cho, H. / Moon, J. / SPIE et al. | 1999
- 262
-
CD-SEM characterization of reticle-level pattern density effectsYip, Raymond / Chu, Mico / Fu, Steven / Castro, Dave / Ng, Waiman / Anderson, Geoffrey T. / Sherrill, Micheal J. / Chen, Norman / Ku, Yao Ching et al. | 1999
- 262
-
CD-SEM characterization of reticle-level pattern density effects [3873-26]Yip, R. / Chu, M. / Fu, S. / Castro, D. / Ng, W. / Anderson, G. / Sherrill, M. J. / Shen, N. / Ku, Y. C. / SPIE et al. | 1999
- 277
-
Integration of optical proximity correction strategies in strong phase shifters design for poly-gate layers [3873-27]Spence, C. A. / Plat, M. V. / Sahouria, E. Y. / Cobb, N. B. / Schellenberg, F. M. / SPIE et al. | 1999
- 277
-
Integration of optical proximity correction strategies in strong phase shifters design for poly-gate layersSpence, Chris A. / Plat, Marina V. / Sahouria, Emile Y. / Cobb, Nicolas B. / Schellenberg, Franklin M. et al. | 1999
- 288
-
Development of simplified process for KrF excimer halftone mask with chrome-shielding methodKobayashi, Shinji / Oka, N. / Watanabe, Kunio / Ohmori, Kiyochige / Inoue, M. / Iguchi, K. et al. | 1999
- 288
-
Development of simplified process for KrF excimer halftone mask with chrome-shielding method [3873-28]Kobayashi, S. / Oka, N. / Watanabe, K. / Ohmori, K. / Inoue, M. / Iguchi, K. / SPIE et al. | 1999
- 297
-
Control methodology of off-target for varying pattern densities with chrome dry etch [3873-29]Hochmuth, J. / Ruhl, G. G. / Coleman, T. P. / SPIE et al. | 1999
- 297
-
Control methodology of off-target for varying pattern densities with chrome dry etchHochmuth, Juergen / Ruhl, Guenther G. / Coleman, Thomas P. et al. | 1999
- 307
-
Sub-0.18-μm line/space lithography using 248-nm scanners and assisting feature OPC masksLin, Huitzu / Lin, John C. / Chiu, Ching S. / Wang, Ying-Ying / Yen, Anthony et al. | 1999
- 307
-
Sub-0.18-mum line/space lithography using 248-nm scanners and assisting feature OPC masks [3873-30]Lin, H. T. / Lin, J. C. H. / Chiu, C. S. G. / Wang, Y. Y. / Yen, A. / SPIE et al. | 1999
- 318
-
PMJ '99 panel discussion review: OPC mask technology for KrF lithography (Invited Paper) [3873-31]Kawahira, H. / Hayashi, N. / Hamada, H. / SPIE et al. | 1999
- 318
-
PMJ' 99 panel discussion review: OPC mask technology for KrF lithographyKawahira, Hiroichi / Hayashi, Naoya / Hamada, Hideaki et al. | 1999
- 328
-
Through-focus image balancing of alternating phase-shifting masksPeng, Song et al. | 1999
- 328
-
Through-focus image balancing of alternating phase-shifting masks [3873-32]Peng, S. / SPIE et al. | 1999
- 337
-
ZrSiO: a new and robust material for attenuated phase-shift mask in ArF lithographyOnodera, Toshio / Matsuo, Takahiro / Nakazawa, Keisuke / Miyazaki, Junji / Ogawa, Tohru / Morimoto, Hiroaki / Haraguchi, Takashi / Fukuhara, Nobuhiko / Matsuo, Tadashi / Otaki, Masao et al. | 1999
- 337
-
ZrSiO: a new and robust material for attenuated phase-shift mask in ArF lithography [3873-33]Onodera, T. / Matsuo, T. / Nakazawa, K. / Miyazaki, J. / Ogawa, T. / Morimoto, H. / Haraguchi, T. / Fukuhara, N. / Otaki, M. / SPIE et al. | 1999
- 344
-
Proximity effects of alternating phase-shift masksMaurer, Wilhelm / Friedrich, Christoph M. / Mader, Leonhard / Thiele, Joerg et al. | 1999
- 344
-
Proximity effects of alternating phase-shift masks [3873-34]Maurer, W. / Friedrich, C. / Mader, L. / Thiele, J. / SPIE et al. | 1999
- 350
-
Realization of practical attenuated phase-shift mask with high-transmission KrF excimer laser exposureToyama, Nobuhito / Miyashita, Hiroyuki / Morikawa, Yasutaka / Fujita, Hiroshi / Iwase, Kazuya / Mohri, Hiroshi / Hayashi, Naoya / Sano, Hisatake et al. | 1999
- 350
-
Realization of practical attenuated phase-shift mask with high-transmission KrF excimer laser exposure [3873-35]Toyama, N. / Miyashita, H. / Morikawa, Y. / Fujita, H. / Iwase, K. / Mohri, H. / Hayashi, N. / Sano, H. / SPIE et al. | 1999
- 359
-
Transmission and phase balancing of alternating phase-shifting masks (5 x): theoretical and experimental results [3873-36]Griesinger, U. A. / Pforr, R. / Knobloch, J. / Friedrich, C. / SPIE et al. | 1999
- 359
-
Transmission and phase balancing of alternating phase-shifting masks (5x): theoretical and experimental resultsGriesinger, Uwe A. / Pforr, Rainer / Knobloch, Juergen / Friedrich, Christoph M. et al. | 1999
- 372
-
Challenges and opportunities for 157-nm mask technology [3873-37]Mulkens, J. / Wagner, C. / Cummings, K. D. / George, R. A. / SPIE et al. | 1999
- 372
-
Challenges and opportunities for 157-nm mask technologyMulkens, Jan / Wagner, Christian / Cummings, Kevin D. / George, Richard A. et al. | 1999
- 386
-
New silica glass for 157-nm lithography [3873-114]Ikuta, Y. / Kikugawa, S. / Kawahara, T. / Mishiro, H. / Shimodaira, N. / Arishima, H. / Yoshizawa, S. / SPIE et al. | 1999
- 386
-
New silica glass for 157-nm lithographyIkuta, Yoshiaki / Kikugawa, Shinya / Kawahara, T. / Mishiro, H. / Shimodaira, Noriaki / Arishima, H. / Yoshizawa, Shuhei et al. | 1999
- 392
-
Properties of fused silica for 157-nm photomasksMoore, Lisa A. / Smith, Charlene M. et al. | 1999
- 392
-
Properties of fused silica for 157-nm photomasks [3873-39]Moore, L. A. / Smith, C. M. / SPIE et al. | 1999
- 402
-
Dry and F-doped fused silica for photomask substrate in 157-nm lithographyJinbo, Hiroki / Fujiwara, Seishi / Komine, Norio / Shiraishi, Naomasa / Owa, Soichi et al. | 1999
- 402
-
Dry and F-doped fused silica for photomask substrate in 157-nm lithography [3873-40]Jinbo, H. / Fujiwara, S. / Komine, N. / Shiraishi, N. / Owa, S. / SPIE et al. | 1999
- 412
-
Masking materials for 157-nm lithographySmith, Bruce W. / Bourov, Anatoly / Lassiter, Matthew / Cangemi, Michael J. et al. | 1999
- 412
-
Masking materials for 157-nm lithography [3873-41]Smith, B. W. / Bourov, A. / Lassiter, M. / Cangemi, M. / SPIE et al. | 1999
- 421
-
Mask substrate requirements and development for extreme ultraviolet lithography (EUVL) [3873-43]Tong, W. M. / Taylor, J. S. / Hector, S. D. / Shell, M. K. / SPIE et al. | 1999
- 421
-
Mask substrate requirements and development for extreme ultraviolet lithography (EUVL)Tong, William M. / Taylor, John S. / Hector, Scott D. / Shell, Melissa K. et al. | 1999
- 429
-
Comparison of at-wavelenth inspection, printability, and simulation of nanometer-scale substrate defects in extreme ultraviolet lithography (EUVL)Cardinale, Gregory F. / Goldsmith, John E. M. / Ray-Chaudhuri, Avijit K. / Fisher, Aaron / Hector, Scott D. / Mangat, Pawitter J. S. / Masnyj, Zorian S. / Mancini, David P. / Wilkinson, Bill / Bokor, Jeffrey et al. | 1999
- 429
-
Comparison of at-wavelenth inspection, printability, and simulation of nanometer-scale substrate defects in extreme ultraviolet lithography (EUVL) [3873-44]Cardinale, G. F. / Goldsmith, J. E. M. / Ray-Chaudhuri, A. K. / Fisher, A. / Hector, S. D. / Mangat, P. J. S. / Masnyj, Z. S. / Mancini, D. P. / Wilkinson, B. / Bokor, J. et al. | 1999
- 442
-
1999 mask industry quality assessmentGrenon, Brian J. et al. | 1999
- 442
-
1999 mask industry quality assessment [3873-115]Grenon, B. J. / SPIE et al. | 1999
- 456
-
Process optimization of e-beam lithography with high-accelerated voltage [3873-45]Yoshida, J. / Takagi, N. / Tsuzuki, M. / Takahashi, N. / Yamada, Y. / Matsuzawa, Y. / SPIE et al. | 1999
- 456
-
Process optimization of e-beam lithography with high-accelerated voltageYoshida, Jun / Takagi, Noriaki / Tsuzuki, Masayoshi / Takahashi, Naoki / Yamada, Yoshiro / Matsuzawa, Yuichi et al. | 1999
- 468
-
Improvement of CD accuracy for next-generation reticles using HL-800M and CA resists [3873-46]Satoh, H. / Sohda, Y. / Saitoh, H. / Hoga, M. / Asai, S. / Kawasaki, K. / Mizuno, K. / SPIE et al. | 1999
- 468
-
Improvement of CD accuracy for next-generation reticles using HL-800M and CA resistsSatoh, Hidetoshi / Sohda, Yasunari / Saitoh, Hidetaka / Hoga, Morihisa / Asai, Suyo / Kawasaki, Katsuhiro / Mizuno, Kazui et al. | 1999
- 477
-
Traceability, reproducibility, and comparability of grid calibrationsBosse, Harald / Haessler-Grohne, Wolfgang / Brendel, Bernd et al. | 1999
- 477
-
Traceability, reproducibility, and comparability of grid callibrations [3873-47]Bosse, H. / Haessler-Grohne, W. / Brendel, B. / SPIE et al. | 1999
- 484
-
Lithography simulation of sub-0.30-μm resist features for photomask fabrication using i-line optical pattern generatorsRathsack, Benjamen M. / Tabery, Cyrus E. / Philbin, Cecilia E. / Willson, C. Grant et al. | 1999
- 484
-
Lithography simulation of sub-0.30-mum resist features for photomask fabrication using i-line optical pattern generators [3873-48]Rathsack, B. M. / Tabery, C. E. / Philbin, C. E. / Willson, C. G. / SPIE et al. | 1999
- 493
-
Performance of the EL-4+ maskwriter for advanced chrome on glass reticlesCaldwell, Neal / Jeffer, Raymond / Lawliss, Mark / Hartley, John G. et al. | 1999
- 493
-
Performance of the EL-4+ maskwriter for advanced chrome on glass reticles [3873-49]Caldwell, N. / Jeffer, R. / Lawliss, M. / Hartley, J. G. / SPIE et al. | 1999
- 501
-
Practical gold thin-film photocathodes for advanced electron-beam lithography [3873-50]Gosavi, S. / McCarthy, J. M. / Berglund, C. N. / Mackie, W. A. / Southall, L. A. / SPIE et al. | 1999
- 501
-
Practical gold thin-film photocathodes for advanced electron-beam lithographyGosavi, Suresh / McCarthy, Jack M. / Berglund, C. Neil / Mackie, William A. / Southall, L. A. et al. | 1999
- 513
-
Incorporation of laser proximity correction into mask production [3873-51]Rosenbusch, A. / Unruh, J. / Kirsch, H. / Chan, D. / SPIE et al. | 1999
- 513
-
Incorporation of laser proximity correction into mask productionRosenbusch, Anja / Unruh, James / Kirsch, Hartmut / Chan, David Y. et al. | 1999
- 523
-
Reduction of beam-induced pattern placement errors in MEBES systemsAlexander, David W. / Veneklasen, Lee / Weaver, Suzanne / Varner, Jeffrey K. / Cole, Damon M. et al. | 1999
- 523
-
Reduction of beam-induced pattern placement errors in MEBES systems [3873-52]Alexander, D. / Veneklasen, L. / Weaver, S. / Varner, J. K. / Cole, D. M. / SPIE et al. | 1999
- 532
-
Evaluation of an advanced mask-writing system: II [3873-103]Kubo, S. / Hiruta, K. / Sugiyama, M. / Iwamatsu, T. / Fujisawa, T. / Morimoto, H. / SPIE et al. | 1999
- 532
-
Evaluation of an advanced mask-writing system: IIKubo, Shinji / Hiruta, Koji / Sugiyama, Masao / Iwamatsu, Takayuki / Fujisawa, Tatsuya / Morimoto, Hiroaki et al. | 1999
- 544
-
Measurement of residual birefringence in photomask blanks [3873-54]Wang, B. / Troccolo, P. M. / SPIE et al. | 1999
- 544
-
Measurement of residual birefringence in photomask blanksWang, Baoliang B. / Troccolo, Patrick M. et al. | 1999
- 554
-
Puddle developers for ZEP 7000Ozawa, Kakuei / Abe, Nobunori et al. | 1999
- 554
-
Puddle developers for ZEP 7000 [3873-55]Ozawa, K. / Abe, N. / SPIE et al. | 1999
- 562
-
High-resolution thickness measurements and evaluation of a photomask blankHirano, Teruyoshi / Matsuo, Ryuji / Tomiyama, Kozue / Yazawa, Ichiro / Wada, Hiroshi / Otaki, Masao / Omote, Kazuhiko et al. | 1999
- 562
-
High-resolution thickness measurements and evaluation of a photomask blank [3873-56]Hirano, T. / Matsuo, R. / Tomiyama, K. / Yazawa, I. / Wada, H. / Otaki, M. / Omote, K. / SPIE et al. | 1999
- 573
-
Analysis of photomask CD errors depending on development methodsMoon, Seong-Yong / Ki, Won-Tai / Cha, Byung-Cheol / Choi, Seong-Woon / Yoon, Hee-Sun / Sohn, Jung-Min et al. | 1999
- 573
-
Analysis of photomask CD errors depending on development methods [3873-58]Moon, S.-Y. / Ki, W.-T. / Cha, B.-C. / Choi, S.-W. / Yoon, H.-S. / Sohn, J.-M. / SPIE et al. | 1999
- 577
-
Chemically amplified positive resist for next-generation photomask fabricationKatoh, Kohji / Kasuya, Kei / Arai, Tadashi / Sakamizu, Toshio / Satoh, Hidetoshi / Saitoh, Hidetaka / Hoga, Morihisa et al. | 1999
- 577
-
Chemically amplified positive resist for next-generation photomask fabrication [3873-60]Katoh, K. / Kasuya, K. / Arai, T. / Sakamizu, T. / Satoh, H. / Saitoh, H. / Hoga, M. / SPIE et al. | 1999
- 587
-
Birefringence dispersion in photomask substrates for DUV lithographyPriestley, Richard et al. | 1999
- 587
-
Birefringence dispersion in photomask substrates for DUV lithography [3873-61]Priestley, R. / SPIE et al. | 1999
- 592
-
Improving CDs on a MEBES system by improving the ZEP 7000 development and dry etch processAlbrethsen-Keck, Barbara / Lu, Maiying / Sauer, Charles A. et al. | 1999
- 592
-
Improving CDs on a MEBES system by improving the ZEP 7000 development and dry etch process [3873-62]Albrethsen-Keck, B. / Lu, M. / Sauer, C. A. / SPIE et al. | 1999
- 600
-
Comparison of DNQ/novolac resists for e-beam exposure [3873-63]Fedynyshyn, T. H. / Doran, S. P. / Lind, M. L. / Lyszczarz, T. M. / DiNatale, W. F. / Lennon, D. / Sauer, C. A. / Meute, J. / SPIE et al. | 1999
- 600
-
Comparison of DNQ/novolac resists for e-beam exposureFedynyshyn, Theodore H. / Doran, Scott P. / Lind, Michele L. / Lyszczarz, Theodore M. / DiNatale, William F. / Lennon, Donna / Sauer, Charles A. / Meute, Jeff et al. | 1999
- 615
-
Optimization of ZEP 7000 writing and development conditions [3873-104]Courboin, D. / Gervot, P. / Gayou, C. / Montarou, P. / SPIE et al. | 1999
- 615
-
Optimization of ZEP 7000 writing and development conditionsCourboin, Daniel / Gervot, Philippe / Gayou, Chantal / Montarou, Patrick et al. | 1999
- 626
-
Die-to-die and die-to-database capability analysis for advanced OPC inspectionChen, Jerry X. / Russell, Drew R. / Terhune, Robert / Riddick, John / Kalk, Franklin D. / Lucas, Kevin D. / Falch, Bradley J. et al. | 1999
- 626
-
Die-to-die and die-to-database capability analysis for advanced OPC inspection [3873-64]Chen, J. X. / Russell, D. R. / Terhune, R. / Riddick, J. / Kalk, F. D. / Lucas, K. D. / Falch, B. J. / SPIE et al. | 1999
- 635
-
Repair and printability study of binary chrome masks with OPC features for 0.18-mum technology node [3873-66]Stewart, D. K. / Ferranti, D. C. / Morgan, J. C. / Lessing, J. / Kuo, J. / Chiu, C. S. G. / SPIE et al. | 1999
- 635
-
Repair and printability study of binary chrome masks with OPC features for 0.18-μm technology nodeStewart, Diane K. / Ferranti, David C. / Morgan, John C. / Lessing, Joshua / Kuo, Jeff / Chiu, Ching S. et al. | 1999
- 643
-
Defect sensitivity and inspectability of the KLA SEMSpec for alternating phase-shift masks [3873-67]Benz, J. M. / SPIE et al. | 1999
- 643
-
Defect sensitivity and inspectability of the KLA SEMSpec for alternating phase-shift masksBenz, Jason M. et al. | 1999
- 651
-
Reticle defect size calibration using low-voltage SEM and pattern recognition techniques for sub-200-nm defects [3873-69]Zurbrick, L. S. / Khanna, S. / Lee, J. / Greed, J. J. / Laird, E. R. / Blanquies, R. M. / SPIE et al. | 1999
- 651
-
Reticle defect size calibration using low-voltage SEM and pattern recognition techniques for sub-200-nm defectsZurbrick, Larry S. / Khanna, Steve / Lee, Jay / Greed, James J. / Laird, Ellen R. / Blanquies, Rene M. et al. | 1999
- 659
-
Reticle blank inspection and its role in zero-defect manufacturing [3873-71]Krause, K. A. / Howard, W. B. / SPIE et al. | 1999
- 659
-
Reticle blank inspection and its role in zero-defect manufacturingKrause, Kevin A. / Howard, William B. et al. | 1999
- 668
-
Carbon stain effects from SEM exposure [3873-72]Grantz, J. / Henderson, R. K. / Wood, J. L. / SPIE et al. | 1999
- 668
-
Carbon stain effects from SEM exposureGrantz, John / Henderson, Robert K. / Wood, James L. et al. | 1999
- 677
-
Post-develop inspection for defect control by using the Lasertec 9MD83SRII systemWang, Andrew / Shen, Wayne P. / Nakashima, T. / Ozawa, Kaku et al. | 1999
- 677
-
Post-develop inspection for defect control by using the Lasertec 9MD83SRII system [3873-73]Wang, A. / Shen, W. P. / Nakashima, T. / Ozawa, K. / SPIE et al. | 1999
- 681
-
Comparison of binary mask defect printability analysis using virtual stepper system and aerial image microscope system [3873-112]Phan, K. A. / Spence, C. A. / Dakshina-Murthy, S. / Bala, V. / Williams, A. M. / Strener, S. / Eandi, R. D. / Li, J. / Karklin, L. / SPIE et al. | 1999
- 681
-
Comparison of binary mask defect printability analysis using virtual stepper system and aerial image microscope systemPhan, Khoi A. / Spence, Chris A. / Dakshina-Murthy, S. / Bala, Vidya / Williams, Alvina M. / Strener, Steve / Eandi, Richard D. / Li, Junling / Karklin, Linard et al. | 1999
- 694
-
When calibration is not enoughKingsley, Jeffrey R. / Johnson, Leslie et al. | 1999
- 694
-
When calibration is not enough [3873-74]Kingsley, J. R. / Johnson, L. / SPIE et al. | 1999
- 703
-
Measurement error revisitedHenderson, Robert K. et al. | 1999
- 703
-
Measurement error revisited [3873-75]Henderson, R. K. / SPIE et al. | 1999
- 728
-
Line-width uniformity verification for 0.18-μm and below design rule reticlesTan, TaiSheng / Kuo, Shen C. / Shen, Wayne P. / Schumann, Nathan / Wu, Clare et al. | 1999
- 728
-
Line-width uniformity verification for 0.18-mum and below design rule reticles [3873-76]Tan, T. / Kuo, S. C. / Shen, W. P. / Schumann, N. / Wu, C. / SPIE et al. | 1999
- 734
-
Comparison study of mask error effects for various mask-making processesEom, Tae-Seung / Hur, Ikboum / Koo, Youngmo / Baik, Ki-Ho / Choi, Il-Hyun / Kim, Do Yun / Shin, Chul et al. | 1999
- 734
-
Comparison study of mask error effects for various mask-making processes [3873-77]Eom, T.-S. / Hur, I.-B. / Koo, Y.-M. / Baik, K.-H. / Choi, I.-H. / Kim, D. Y. / Shin, C. / SPIE et al. | 1999
- 746
-
Using linear programming to improve the determination of photomask magnification and orthogonality correctionsCerio, Mark D. et al. | 1999
- 746
-
Using linear programming to improve the determination of photomask magnification and orthogonality corrections [3873-78]Cerio, M. D. / SPIE et al. | 1999
- 754
-
Defect printability measurement on the KLA-351: correlation to defect sizing using the AVI metrology system [3873-79]Fiekowsky, P. / Selassie, D. / SPIE et al. | 1999
- 754
-
Defect printability measurement on the KLA-351: correlation to defect sizing using the AVI metrology systemFiekowsky, Peter / Selassie, Daniel et al. | 1999
- 760
-
Beta test performance of the Leica LWM 250 UV CD measurement tool [3873-116]Henderson, R. K. / SPIE et al. | 1999
- 760
-
Beta test performance of the Leica LWM 250 UV CD measurement toolHenderson, Robert K. et al. | 1999
- 786
-
Damage control during dry etching of EUV mask: 1. Control of surface roughness [3873-80]Hoshino, E. / Ogawa, T. / Takahashi, M. / Hoko, H. / Yamanashi, H. / Hirano, N. / Okazaki, S. / SPIE et al. | 1999
- 786
-
Damage control during dry etching of EUV mask: I. Control of surface roughnessHoshino, Eiichi / Ogawa, Taro / Takahashi, Masashi / Hoko, Hiromasa / Yamanashi, Hiromasa / Hirano, Naoya / Okazaki, Shinji et al. | 1999
- 792
-
Options for at-wavelength inspection of patterned extreme ultraviolet lithography masks [3873-81]Tejnil, E. / Stivers, A. R. / SPIE et al. | 1999
- 792
-
Options for at-wavelength inspection of patterned extreme ultraviolet lithography masksTejnil, Edita / Stivers, Alan R. et al. | 1999
- 804
-
Next-generation lithography mask development at the NGL Mask Center of CompetencyLercel, Michael J. / Brooks, Cameron J. / Racette, Kenneth C. / Magg, Christopher / Lawliss, Mark / Caldwell, Neal / Jeffer, Raymond / Collins, Kevin W. / Barrett, Monica / Nash, Steven C. et al. | 1999
- 804
-
Next-generation lithography mask development at the NGL Mask Center of Competency [3873-82]Lercel, M. J. / Brooks, C. J. / Racette, K. C. / Magg, C. / Lawliss, M. / Caldwell, N. / Jeffer, R. / Collins, K. W. / Barrett, M. / Nash, S. C. et al. | 1999
- 814
-
Cross-correlation between actinic and visible defect inspection tool for extreme ultraviolet lithography [3873-83]Jeong, S. / Lai, C.-W. / Rekawa, S. / Walton, C. C. / Prisbrey, S. T. / Bokor, J. / SPIE et al. | 1999
- 814
-
Cross-correlation between actinic and visible defect inspection tool for extreme ultraviolet lithographyJeong, Seongtae / Lai, Chih-wei / Rekawa, Senajith / Walton, Christopher C. / Prisbrey, Shon T. / Bokor, Jeffrey et al. | 1999
- 822
-
Placement measurement and FE modeling results for distortion control of stencil masks [3873-85]Ehrmann, A. / Struck, T. / Kaesmaier, R. / Haugeneder, E. / Loschner, H. / Butschke, J. / Letzkus, F. / Irmscher, M. / Springer, R. / Engelstad, R. L. et al. | 1999
- 822
-
Placement measurement and FE modeling results for distortion control of stencil masksEhrmann, Albrecht / Struck, Thomas / Kaesmaier, Rainer / Haugeneder, Ernst / Loeschner, Hans / Butschke, Joerg / Letzkus, Florian / Irmscher, Mathias / Springer, Reinhard / Engelstad, Roxann L. et al. | 1999
- 831
-
CD measurements of IPL stencil masks with optical microscopes [3873-86]Bentfeldt, A. / Ehrmann, A. / Schatz, T. / Struck, T. / SPIE et al. | 1999
- 831
-
CD measurements of IPL stencil masks with optical microscopesBentfeldt, Arne / Ehrmann, Albrecht / Schaetz, Thomas / Struck, Thomas et al. | 1999
- 838
-
Use of programmed multilayer defects in validating a defect compensation strategy for EUV lithographyRay-Chaudhuri, Avijit K. / Cardinale, Gregory F. / Fisher, Aaron / Mangat, Pawitter J. S. / Liang, Ted / Sweeney, Donald W. et al. | 1999
- 838
-
Use of programmed multilayer defects in validating a defect compensation strategy for EUV lithography [3873-87]Ray-Chaudhuri, A. K. / Cardinale, G. F. / Fisher, A. / Mangat, P. J. S. / Liang, S. / Sweeney, D. W. / SPIE et al. | 1999
- 844
-
Modeling of optical constants of materials comprising photolithographic masks in the VUV [3873-88]Harrison, D. A. / Lam, J. C. / Li, G. G. / Forouhi, A. R. / Dao, G. T. / SPIE et al. | 1999
- 844
-
Modeling of optical constants of materials comprising photolithographic masks in the VUVHarrison, Dale A. / Lam, John C. / Li, George G. / Forouhi, A. Rahim / Dao, Giang T. et al. | 1999
- 853
-
Advanced mask technology for 230-mm reticle fabricationHiruta, Koji / Kubo, Shinji / Iwamatsu, Takayuki / Fujisawa, Tatsuya / Sugiyama, Masao / Morimoto, Hiroaki et al. | 1999
- 853
-
Advanced mask technology for 230-mm reticle fabrication [3873-105]Hiruta, K. / Kubo, S. / Iwamatsu, T. / Fujisawa, T. / Sugiyama, M. / Morimoto, H. / SPIE et al. | 1999
- 858
-
Techniques to inspect SCALPEL masks [3873-111]Taylor, D. / Howard, W. B. / Kasica, R. J. / Farrow, R. C. / Novembre, A. E. / Caminos, C. / Knurek, C. S. / SPIE et al. | 1999
- 858
-
Techniques to inspect SCALPEL masksTaylor, Darren / Howard, William B. / Kasica, Richard J. / Farrow, Reginald C. / Novembre, Anthony E. / Caminos, Carlos / Knurek, Chester S. et al. | 1999
- 865
-
Experimental study of mask line edge roughness transfer in DUV and EUV lithography patterning processYan, Pei-yang / Zhang, Guojing et al. | 1999
- 865
-
Experimental study of mask line edge roughness transfer in DUV and EUV lithography patterning process [3873-113]Yan, P. / Zhang, G. / SPIE et al. | 1999
- 876
-
Proposed successor to barcode for automated reticle identificationWhite, Thomas / Baylies, Winthrop A. / Bernal, Karl A. / Merva, John / Bouvier, William et al. | 1999
- 876
-
Proposed successor to barcode for automated reticle identification [3873-89]White, T. / Baylies, W. / Bernal, K. A. / Merva, J. / Bouvier, W. / SPIE et al. | 1999
- 886
-
CANARY: a high-sensitivity ESD test reticle design to evaluate potential risks in wafer fabs [3873-90]Englisch, A. / van Hasselt, K. / Tissier, M. / Wang, K. C. / SPIE et al. | 1999
- 886
-
CANARY: a high-sensitivity ESD test reticle design to evaluate potential risks in wafer fabsEnglisch, Andreas / van Hasselt, Kees / Tissier, Michel / Wang, K. C. et al. | 1999
- 893
-
CD error sensitivity to "sub-killer" defects at k1near 0.4: IINakagawa, Kent H. / Chen, J. Fung / Socha, Robert J. / Dusa, Mircea V. / Laidig, Thomas L. / Wampler, Kurt E. / Caldwell, Roger F. / Van Den Broeke, Douglas J. et al. | 1999
- 893
-
CD error sensitivity to "sub-killer" defects at k~1 near 0.4: II [3873-92]Nakagawa, K. H. / Chen, J. F. / Socha, R. J. / Dusa, M. V. / Laidig, T. L. / Wampler, K. E. / Caldwell, R. F. / van den Broeke, D. J. / SPIE et al. | 1999
- 905
-
New mask blank handling system for the advanced electron-beam writerYoshitake, Shusuke / Ooki, Kenji / Hirano, Ryoichi / Tojo, Toru / Ogawa, Yoji / Ogura, Katsuhito / Yamamoto, Teruaki / Toriumi, Masaki / Tada, Yoshiaki et al. | 1999
- 905
-
New mask blank handling system for the advanced electron-beam writer [3873-94]Yoshitake, S. / Ooki, K. / Hirano, R. / Tojo, T. / Ogawa, Y. / Ogura, K. / Yamamoto, T. / Toriumi, M. / Tada, Y. / SPIE et al. | 1999
- 916
-
Cleaning of SCALPEL next-generation lithography masks using PLASMAX, a revolutionary dry cleaning technology [3873-107]Festa, J. J. / Novembre, A. E. / Bennett, D. A. / Kasica, R. J. / Bailey, B. / Blakey, M. I. / SPIE et al. | 1999
- 916
-
Cleaning of SCALPEL next-generation lithography masks using PLASMAX, a revolutionary dry cleaning technologyFesta, John J. / Novembre, Anthony E. / Bennett, Darryl A. / Kasica, Richard J. / Bailey, Brad / Blakey, Myrtle I. et al. | 1999
- 928
-
Simulation study and fabrication of high-transmittance attenuated phase-shifting mask [3873-95]Kim, E. / Hong, S. / Moon, S. / Kim, Y. / Yoon, H. / No, K. / SPIE et al. | 1999
- 928
-
Simulation study and fabrication of high-transmittance attenuated phase-shifting maskKim, Eunah / Hong, Seungbum / Moon, Seong-Yong / Kim, Yong-Hoon / Yoon, Hee-Sun / No, Kwangsoo et al. | 1999
- 937
-
Evaluation of lens aberrations depending on the transmittance of DUV-attenuated PSM [3873-96]Park, J.-H. / Kim, Y.-H. / Lim, S.-C. / Lee, K.-H. / Choi, S.-W. / Yoon, H.-S. / Sohn, J.-M. / SPIE et al. | 1999
- 937
-
Evaluation of lens aberrations depending on the transmittance of DUV-attenuated PSMPark, JoHyun / Kim, Yong-Hoon / Lim, Sung-Chul / Lee, Kyung H. / Choi, Seong-Woon / Yoon, Hee-Sun / Sohn, Jung-Min et al. | 1999
- 943
-
Application of phase-edge PSM for narrow logic gate [3873-98]Kim, B. / Park, C. / Ryoo, M. / Lee, K. / Cho, H. / Moon, J. / SPIE et al. | 1999
- 943
-
Application of phase-edge PSM for narrow logic gateKim, Byeongsoo / Park, Chul-Hong / Ryoo, Manhyoung / Lee, Kyounghee / Cho, Hanku / Moon, Joo-Tae et al. | 1999
- 953
-
Fabrication process of Cr-based attenuated phase-shift masks for KrF excimer laser lithography [3873-99]Kagami, I. / Ishikawa, K. / Kakuta, D. / Kawahira, H. / SPIE et al. | 1999
- 953
-
Fabrication process of Cr-based attenuated phase-shift masks for KrF excimer laser lithographyKagami, Ichiro / Ishikawa, Kiichi / Kakuta, Daichi / Kawahira, Hiroichi et al. | 1999
- 964
-
Absorbing assist pattern technique (A2PT) for effective sidelobe control for attenuated phase-shifting masks in optical projection lithographyPforr, Rainer / Gans, Fritz / Knobloch, Juergen / Thiele, Joerg et al. | 1999
- 964
-
Absorbing assist pattern technique (A^2PT) for effective sidelobe control for attenuated phase-shifting masks in optical projection lithography [3873-100]Pforr, R. / Gans, F. / Knobloch, J. / Thiele, J. / SPIE et al. | 1999
- 969
-
TiSi-nitride-based attenuated phase-shift mask for ArF lithography [3873-101]Koo, S.-S. / Hur, I.-B. / Koo, Y.-M. / Baik, K.-H. / Choi, I.-H. / Kim, L.-J. / Park, K.-T. / Shin, C. / SPIE et al. | 1999
- 969
-
TiSi-nitride-based attenuated phase-shift mask for ArF lithographyKoo, Sang-Sool / Hur, Ikboum / Koo, Youngmo / Baik, Ki-Ho / Choi, Il-Hyun / Kim, Lee-Ju / Park, Keuntaek / Shin, Chul et al. | 1999
- 979
-
Development of ZrSiO attenuated phase-shift mask for ArF excimer laser lithographyFukuhara, Nobuhiko / Haraguchi, Takashi / Kanayama, Koichiro / Matsuo, Tadashi / Takeuchi, Susumu / Tomiyama, Kozue / Saga, Tadashi / Hattori, Yusuke / Ooshima, Takashi / Otaki, Masao et al. | 1999
- 979
-
Development of ZrSiO attenuated phase-shift mask for ArF excimer laser lithography [3873-102]Fukuhara, N. / Haraguchi, T. / Kanayama, K. / Matsuo, T. / Takeuchi, S. / Tomiyama, K. / Saga, T. / Hattori, Y. / Ooshima, T. / Otaki, M. et al. | 1999
- 987
-
Method to devise multiphase complex mask in submicron lithographyLuo, Xiangang / Yao, HanMin et al. | 1999
- 987
-
Method to devise multiphase complex mask in submicron lithography [3873-106]Luo, X. / Yao, H. / SPIE et al. | 1999
- 995
-
Practical technology path to sub-0.10-mum process generations via enhanced optical lithography [3873-108]Chen, J. F. / Laidig, T. L. / Wampler, K. E. / Caldwell, R. F. / Nakagawa, K. H. / Liebchen, A. / SPIE et al. | 1999
- 995
-
Practical technology path to sub-0.10-μm process generations via enhanced optical lithographyChen, J. Fung / Laidig, Thomas L. / Wampler, Kurt E. / Caldwell, Roger F. / Nakagawa, Kent H. / Liebchen, Armin et al. | 1999