Optical Fourier transform scatterometry for LER and LWR metrology (Englisch)
- Neue Suche nach: Boher, P.
- Neue Suche nach: Petit, J.
- Neue Suche nach: Leroux, T.
- Neue Suche nach: Foucher, J.
- Neue Suche nach: Desieres, Y.
- Neue Suche nach: Hazart, J.
- Neue Suche nach: Chaton, P.
- Neue Suche nach: Boher, P.
- Neue Suche nach: Petit, J.
- Neue Suche nach: Leroux, T.
- Neue Suche nach: Foucher, J.
- Neue Suche nach: Desieres, Y.
- Neue Suche nach: Hazart, J.
- Neue Suche nach: Chaton, P.
In:
Proc. SPIE
;
5752
; 192
;
2005
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Optical Fourier transform scatterometry for LER and LWR metrology
-
Beteiligte:Boher, P. ( Autor:in ) / Petit, J. ( Autor:in ) / Leroux, T. ( Autor:in ) / Foucher, J. ( Autor:in ) / Desieres, Y. ( Autor:in ) / Hazart, J. ( Autor:in ) / Chaton, P. ( Autor:in )
-
Kongress:Metrology, Inspection, and Process Control for Microlithography XIX ; 2005 ; San Jose,California,United States
-
Erschienen in:Proc. SPIE ; 5752 ; 192
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:10.05.2005
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
In-line-focus monitoring technique using lens aberration effect [5752-02]Yamamoto, T. / Sawano, T. / Yao, T. / Kobayashi, K. / Asai, S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1
-
In-line-focus monitoring technique using lens aberration effectYamamoto, Tomohiko / Sawano, Toshio / Yao, Teruyoshi / Kobayashi, Katsuyoshi / Asai, Satoru et al. | 2005
- 9
-
Novel methodology of employing scatterometry to assess optical proximity correction test patternSuh, S. S. / Kim, I. S. / Lee, E. M. / Kang, Y. S. / Lee, S. J. / Woo, S. G. / Cho, H. K. et al. | 2005
- 9
-
Novel methodology of employing scatterometry to assess optical proximity correction test pattern [5752-03]Suh, S. S. / Kim, I. S. / Lee, E. M. / Kang, Y. S. / Lee, S. J. / Woo, S. G. / Cho, H. K. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 19
-
The sidewall angle dependence of CDSEM measurements and its impact on CD process controlHwu, Justin J. / Kiamanesh, Homayoun / Dulay, Sukhbir / Wilkens, Peter et al. | 2005
- 19
-
The sidewall angle dependence of CDSEM measurements and its impact on CD process control [5752-125]Hwu, J. J. / Kiamanesh, H. / Dulay, S. / Wilkens, P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 30
-
Application of optical CD for characterization of 70nm dense linesCheung, Beverly / Dusa, Mircea / Kiers, Ton / Cramer, Hugo et al. | 2005
- 30
-
Application of optical CD for characterization of 70nm dense lines [5752-121]Cheung, B. / Dusa, M. / Kiers, T. / Cramer, H. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 41
-
Wafer current measurement for process monitoring [5752-06]Shur, D. / Kadyshevitch, A. / Zelenko, J. / Mata, C. / Verdugo, V. / Guittet, P.-Y. / Starr, B. / Duncan, C. / Ventola, S. / Klinger, J. et al. | 2005
- 41
-
Wafer current measurement for process monitoringShur, Dmitry / Kadyshevitch, Alexander / Zelenko, Jeremy / Mata, Carlos / Verdugo, Victor / Guittet, Pierre-Yves / Starr, Brian / Duncan, Craig / Ventola, Stefano / Klinger, Jan et al. | 2005
- 51
-
In field overlay uncertainty contributors [5752-07]Frommer, A. / Kassel, E. / Izikson, P. / Adel, M. / Leray, P. / Schulz, B. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 51
-
In field overlay uncertainty contributorsFrommer, Aviv / Kassel, Elyakim / Izikson, Pavel / Adel, Mike / Leray, Philippe / Schulz, Bernd et al. | 2005
- 59
-
Performance study of CD mark size for angular scatterometryKu, Yi-sha / Pan, Ding-Sheng / Wang, Shih-Chun / Tung, C. H. / Ko, Chun-Hung / Lu, Sheng-Hua / Smith, Nigel et al. | 2005
- 59
-
Performance study of CD mark size for angular scatterometry [5752-08]Ku, Y. / Pan, D.-S. / Wang, S.-C. / Tung, C. H. / Ko, C.-H. / Lu, S.-H. / Smith, N. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 67
-
High-resolution optical metrology [5752-09]Silver, R. M. / Attota, R. / Stocker, M. / Bishop, M. / Howard, L. / Germer, T. / Marx, E. / Davidson, M. / Larrabee, R. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 67
-
High-resolution optical metrologySilver, R. M. / Attota, R. / Stocker, M. / Bishop, M. / Howard, L. / Germer, T. / Marx, E. / Davidson, M. / Larrabee, R. et al. | 2005
- 80
-
Identifying sources of overlay error in FinFET technologyLaidler, David et al. | 2005
- 80
-
Identifying sources of overlay error in FinFET technology [5752-10]Laidler, D. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 91
-
Study of segmented overlay mark fidelity based on electrical property of device [5752-11]Minami, A. / Yabe, S. / Nasuno, T. / Matsubara, Y. / Tsujita, K. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 91
-
Study of segmented overlay mark fidelity based on electrical property of deviceMinami, Akiyuki / Yabe, Sachiko / Nasuno, Takashi / Matsubara, Yoshihisa / Tsujita, Koichiro et al. | 2005
- 103
-
Abnormal patterning analysis using actual lens and illumination source dataHong, Jongkyun / Lee, Jeonkyu / Kang, Eunsuk / Yang, Hyunjo / Yim, Donggyu / Guerrero, James / Chung, Rob et al. | 2005
- 103
-
Abnormal patterning analysis using actual lens and illumination source data [5752-12]Hong, J. / Lee, J. / Kang, E. / Yang, H. / Yim, D. / Guerrero, J. / Chung, R. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 111
-
CD SEM metrology macro CD technology: beyond the averageBunday, Benjamin D. / Michelson, Di K. / Allgair, John A. / Tam, Aviram / Chase-Colin, David / Dajczman, Asaf / Adan, Ofer / Har-Zvi, Michael et al. | 2005
- 111
-
CD SEM metrology macro CD technology: beyond the average [5752-13]Bunday, B. D. / Michelson, D. K. / Allgair, J. A. / Tam, A. / Chase-Colin, D. / Dajczman, A. / Adan, O. / Har-Zvi, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 127
-
The role of AFM in semiconductor technology development: the 65 nm technology node and beyond (Invited Paper) [5752-14]Ukraintsev, V. A. / Baum, C. / Zhang, G. / Hall, C. L. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 127
-
The role of AFM in semiconductor technology development: the 65 nm technology node and beyondUkraintsev, Vladimir A. / Baum, Christopher / Zhang, Gary / Hall, Craig L. et al. | 2005
- 140
-
90nm technology contact CD performance characterization via ODP scatterometryBarry, Kelly / Cheng, Shaunee / Storms, Greet et al. | 2005
- 140
-
90nm technology contact CD performance characterization via ODP scatterometry [5752-15]Barry, K. / Cheng, S. / Storms, G. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 144
-
Influence of focus variation on linewidth measurementsTanaka, Maki / Villarrubia, John S. / Vladar, Andras E. et al. | 2005
- 144
-
Influence of focus variation on linewidth measurements [5752-05]Tanaka, M. / Villarrubia, J. S. / Vladar, A. E. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 156
-
AFM measurement of linewidth with sub-nanometer scale precisionGonda, Satoshi / Kinoshita, Kazuto / Noguchi, Hironori / Kurosawa, Tomizo / Koyanagi, Hajime / Murayama, Ken / Terasawa, Tsuneo et al. | 2005
- 156
-
AFM measurement of linewidth with sub-nanometer scale precision [5752-17]Gonda, S. / Kinoshita, K. / Noguchi, H. / Kurosawa, T. / Koyanagi, H. / Murayama, K. / Terasawa, T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 163
-
Coordinate transformation method for the solution of inverse problem in 2D and 3D scatterometryPonnusamy, Sekar et al. | 2005
- 163
-
Coordinate transformation method for the solution of inverse problem in 2D and 3D scatterometry [5752-18]Ponnusamy, S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 174
-
Novel inspection technology for half pitch 55 nm and belowOmori, Takeo / Fukazawa, Kazuhiko / Mikami, Toru / Yoshino, Kiminori / Yamazaki, Yuichiro et al. | 2005
- 174
-
Novel inspection technology for half pitch 55 nm and below [5752-19]Omori, T. / Fukazawa, K. / Mikami, T. / Yoshino, K. / Yamazaki, Y. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 183
-
Improved thin film model for overlay metrology [5752-20]Tung, C.-H. / Ku, Y.-S. / Liu, A.-S. / Smith, N. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 183
-
Improved thin film model for overlay metrologyTung, Chi-Hong / Ku, Yi-Sha / Liu, An-Shun / Smith, Nigel et al. | 2005
- 192
-
Optical Fourier transform scatterometry for LER and LWR metrology [5752-21]Boher, P. / Petit, J. / Leroux, T. / Foucher, J. / Desieres, Y. / Hazart, J. / Chaton, P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 192
-
Optical Fourier transform scatterometry for LER and LWR metrologyBoher, P. / Petit, J. / Leroux, T. / Foucher, J. / Desieres, Y. / Hazart, J. / Chaton, P. et al. | 2005
- 204
-
Application of spectroscopic scatterometry method in hole matrices analysis [5752-22]Quintanilha, R. / Hazart, J. / Thony, P. / Henry, D. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 204
-
Application of spectroscopic scatterometry method in hole matrices analysisQuintanilha, R. / Hazart, J. / Thony, P. / Henry, D. et al. | 2005
- 217
-
Characterization of gratings by Mueller polarimetry in conical diffractionDe Martino, Antonello / Novikova, Tatiana / BenHatit, Sami / Drevillon, Bernard / Cattelan, Denis et al. | 2005
- 217
-
Characterization of gratings by Mueller polarimetry in conical diffraction [5752-23]De Martino, A. / Novikova, T. / BenHatit, S. / Drevillon, B. / Cattelan, D. / Yvon, J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 229
-
Impact of overlay metrology on design rule tolerance and shrinkabilityBalasinski, A. / Walker, A. J. et al. | 2005
- 229
-
Impact of overlay metrology on design rule tolerance and shrinkability [5752-171]Balasinski, A. / Walker, A. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 237
-
Immersion scatterometry for improved feature resolution and high speed acquisition of resist profiles [5752-25]Terry, F. L. / Bendik, J. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 237
-
Immersion scatterometry for improved feature resolution and high speed acquisition of resist profilesTerry, Fred L. / Bendik, Joseph J. et al. | 2005
- 248
-
New comprehensive metrics and methodology for metrology tool fleet matching [5752-26]Solecky, E. / Archie, C. / Banke, B. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 248
-
New comprehensive metrics and methodology for metrology tool fleet matchingSolecky, Eric / Archie, Chas / Banke, Bill et al. | 2005
- 259
-
Metal etcher qualification using angular scatterometryJekauc, Igor / Moffitt, Jasen / Shakya, Sushil / Donohue, Elizabeth / Dasari, Prasad / Raymond, Christopher J. / Littau, Mike et al. | 2005
- 259
-
Metal etcher qualification using angular scatterometry [5752-27]Jekauc, I. / Moffitt, J. / Shakya, S. / Donohue, E. / Dasari, P. / Raymond, C. J. / Littau, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 272
-
Comparison of scatterometry, atomic force microscope, dual beam system, and XSEM to measure etched via depths [5752-29]Sendelbach, M. / Shneyder, D. / Lu, W. / Boyd, K. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 272
-
Comparison of scatterometry, atomic force microscope, dual beam system, and XSEM to measure etched via depthsSendelbach, Matthew / Shneyder, Dmitriy / Lu, Wei / Boyd, Kevin et al. | 2005
- 288
-
CD reference features with sub-five nanometer uncertaintyCresswell, M. W. / Dixson, R. G. / Guthrie, W. F. / Allen, R. A. / Murabito, C. E. / Park, B. / Martinez de Pinillos, J. V. / Hunt, A. et al. | 2005
- 288
-
CD reference features with sub-five nanometer uncertainty [5752-166]Cresswell, M. W. / Dixson, R. G. / Guthrie, W. F. / Allen, R. A. / Murabito, C. E. / Park, B. / de Pinillos, J. V. M. / Hunt, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 304
-
Specifications, methodologies, and results of evaluation of optical critical dimension scatterometer tools at the 90nm CMOS technology node and beyond [5752-31]Bunday, B. D. / Peterson, A. / Allgair, J. A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 304
-
Specifications, methodologies, and results of evaluation of optical critical dimension scatterometer tools at the 90nm CMOS technology node and beyondBunday, Benjamin D. / Peterson, Amy / Allgair, John A. et al. | 2005
- 324
-
CD-AFM reference metrology at NIST and SEMATECH [5752-32]Dixson, R. / Fu, J. / Orji, N. / Guthrie, W. / Allen, R. / Cresswell, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 324
-
CD-AFM reference metrology at NIST and SEMATECHDixson, Ronald / Fu, Joseph / Orji, Ndubuisi / Guthrie, William / Allen, Richard / Cresswell, Michael et al. | 2005
- 337
-
A comprehensive comparison of spectral scatterometry hardware [5752-33]Lensing, K. / Stirton, B. / Starnes, B. / Synoradzki, J. / Swain, B. / Lane, L. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 337
-
A comprehensive comparison of spectral scatterometry hardwareLensing, Kevin / Stirton, Broc / Starnes, Brian / Synoradzki, Joseph / Swain, Bryan / Lane, Lawrence et al. | 2005
- 351
-
Automated CD SEM tilt-ready for primetime: a fast in-line methodology for differentiating lines vs. spaces [5752-73]Solecky, E. / Chin, K. / Qu, G. / Yang, H. / Lorusso, G. / Azordegan, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 351
-
Automated CD SEM tilt-ready for primetime: a fast in-line methodology for differentiating lines vs. spacesSolecky, Eric / Chin, Kay / Qu, Gongyuan / Yang, Hedong / Lorusso, Gian / Azordegan, Amir et al. | 2005
- 363
-
Flexible alignment mark design applications using a next generation phase grating alignment systemHinnen, Paul / Lee, Hyun-Woo / Keij, Stefan / Takikawa, Hiroaki / Asanuma, Keita / Ishigo, Kazutaka / Higashiki, Tatsuhiko et al. | 2005
- 363
-
Flexible alignment mark design applications using a next generation phase grating alignment system [5752-35]Hinnen, P. / Lee, H.-W. / Keij, S. / Takikawa, H. / Asanuma, K. / Ishigo, K. / Higashiki, T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 375
-
Nano-imaging with compact extreme ultraviolet laser sourcesVaschenko, G. / Brizuela, F. / Brewer, C. / Grisham, M. / Wang, Y. / Larotonda, M. A. / Luther, B. M. / Menoni, C. S. / Marconi, M. / Rocca, J. J. et al. | 2005
- 375
-
Nano-imaging with compact extreme ultraviolet laser sources [5752-36]Vaschenko, G. / Brizuela, F. / Brewer, C. / Grisham, M. / Wang, Y. / Larotonda, M. A. / Luther, B. M. / Menoni, C. S. / Marconi, M. / Rocca, J. J. et al. | 2005
- 384
-
Advanced mask metrology enabling characterization of imprint lithography templates [5752-37]Myron, L. J. / Gershtein, L. / Gottlieb, G. / Burkhardt, B. / Griffiths, A. / Mellenthin, D. / Rentzsch, K. / MacDonald, S. S. / Hughes, G. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 384
-
Advanced mask metrology enabling characterization of imprint lithography templatesMyron, L. J. / Gershtein, Liraz / Gottlieb, Gidi / Burkhardt, Bob / Griffiths, Andrew / Mellenthin, David / Rentzsch, Kevin / MacDonald, Susan / Hughes, Greg et al. | 2005
- 392
-
Design of an integrated aerial image sensorXue, Jing / Spanos, Costas J. et al. | 2005
- 392
-
Design of an integrated aerial image sensor [5752-38]Xue, J. / Spanos, C. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 404
-
Cross sectional measurements of dense high aspect ratio patterns using CD-SAXSJones, Ronald L. / Lin, Eric K. / Wu, Wen-li / Weigand, Steven J. / Keane, Denis T. / Quintana, John M. et al. | 2005
- 404
-
Cross sectional measurements of dense high aspect ratio patterns using CD-SAXS [5752-39]Jones, R. L. / Lin, E. K. / Wu, W. / Weigand, S. J. / Keane, D. T. / Quintana, J. M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 412
-
Precision carbon nanotube tip for critical dimension measurement with atomic force microscopePark, B. C. / Jung, K. Y. / Hong, J. / Song, W. Y. / O, B.-h. / Kim, J. A. et al. | 2005
- 412
-
Precision carbon nanotube tip for critical dimension measurement with atomic force microscope [5752-40]Park, B. C. / Jung, K. Y. / Hong, J. / Song, W. Y. / O, B. / Kim, J. A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 420
-
Improved CD and overlay metrology using an optical Fourier transform instrumentPetit, J. / Boher, P. / Leroux, T. / Barritault, P. / Hazart, J. / Chaton, P. et al. | 2005
- 420
-
Improved CD and overlay metrology using an optical Fourier transform instrument [5752-41]Petit, J. / Boher, P. / Leroux, T. / Barritault, P. / Hazart, J. / Chaton, P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 429
-
Accurate alignment technique for nanoimprint lithographyJiang, Li / Feldman, Martin et al. | 2005
- 429
-
Accurate alignment technique for nanoimprint lithography [5752-42]Jiang, L. / Feldman, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 438
-
In-chip overlay measurement by existing bright-field imaging optical toolsKu, Yi-Sha / Tung, Chi-Hong / Smith, Nigel P. et al. | 2005
- 438
-
In-chip overlay measurement by existing bright-field imaging optical tools [5752-43]Ku, Y.-S. / Tung, C.-H. / Smith, N. P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 449
-
Overlay mark performance: a simulation studyFrommer, Aviv / Seligson, Joel L. et al. | 2005
- 449
-
Overlay mark performance: a simulation study [5752-44]Frommer, A. / Seligson, J. L. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 459
-
A study of novel overlay targets designs [5752-45]Ku, Y.-S. / Liu, A.-S. / Smith, N. P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 459
-
A study of novel overlay targets designsKu, Yi-Sha / Liu, An-Shan / Smith, Nigel P. et al. | 2005
- 471
-
Optimization of geometry of alignment mark using rigorous coupled-wave analysis (RCWA) [5752-46]Chalykh, R. / Kim, S.-S. / Woo, S.-G. / Cho, H.-K. / Moon, J.-T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 471
-
Optimization of geometry of alignment mark using rigorous coupled-wave analysis (RCWA)Chalykh, Roman / Kim, Seong-Sue / Woo, Sang-Gyun / Cho, Han-Ku / Moon, Joo-Tae et al. | 2005
- 480
-
Unbiased estimation of linewidth roughness [5752-47]Villarrubia, J. S. / Bunday, B. D. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 480
-
Unbiased estimation of linewidth roughnessVillarrubia, J. S. / Bunday, B. D. et al. | 2005
- 489
-
The application of critical shape metrology toward CD-SEM measurement accuracy on sub-60nm features [5752-48]Foucher, J. / Sundaram, G. / Gorelikov, D. V. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 489
-
The application of critical shape metrology toward CD-SEM measurement accuracy on sub-60nm featuresFoucher, J. / Sundaram, G. / Gorelikov, D. V. et al. | 2005
- 499
-
Full spectral analysis of line width roughnessLeunissen, L. H. A. / Lorusso, G. F. / Ercken, M. / Croon, J. A. / Yang, H. / Azordegan, A. / DiBiase, T. et al. | 2005
- 499
-
Full spectral analysis of line width roughness [5752-49]Leunissen, L. H. A. / Lorusso, G. F. / Ercken, M. / Croon, J. A. / Yang, H. / Azordegan, A. / DiBiase, T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 510
-
Application of critical shape metrology to 90nm processGorelikov, Dmitry V. / Haywood, John / Yates, Colin et al. | 2005
- 510
-
Application of critical shape metrology to 90nm process [5752-50]Gorelikov, D. V. / Haywood, J. / Yates, C. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 516
-
Experimental study of contact edge roughness on sub-100 nm various circular shapes [5752-51]Lee, T. Y. / Ihm, D. / Kang, H. C. / Lee, J. B. / Lee, B. H. / Chin, S. B. / Cho, D. H. / Song, C. L. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 516
-
Experimental study of contact edge roughness on sub-100 nm various circular shapesLee, Tae Y. / Ihm, Dongchul / Kang, Hyo C. / Lee, Jum B. / Lee, Byoung H. / Chin, Soo B. / Cho, Do H. / Song, Chang L. et al. | 2005
- 527
-
Design-based metrology: advanced automation for CD-SEM recipe generationTabery, C. / Capodieci, L. / Haidinyak, C. / Shah, K. / Threefoot, M. / Choo, B. / Singh, B. / Nehmadi, Y. / Ofek, C. / Menadeva, O. et al. | 2005
- 527
-
Design-based metrology: advanced automation for CD-SEM recipe generation [5752-154]Tabery, C. / Capodieci, L. / Haidinyak, C. / Shah, K. / Threefoot, M. / Choo, B. / Singh, B. / Nehmadi, Y. / Ofek, C. / Menadeva, O. et al. | 2005
- 536
-
Application of scatterometry for evaluation of lithographic process and OPC model generation [5752-52]Huang, K. / Lee, J. / Wen, Y. / Opsal, J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 536
-
Application of scatterometry for evaluation of lithographic process and OPC model generationHuang, Karen / Lee, Joungchel / Wen, Youxian / Opsal, Jon et al. | 2005
- 546
-
A new matching engine between design layout and SEM image of semiconductor device [5752-53]Morokuma, H. / Sugiyama, A. / Toyoda, Y. / Nagatomo, W. / Sutani, T. / Matsuoka, R. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 546
-
A new matching engine between design layout and SEM image of semiconductor deviceMorokuma, Hidetoshi / Sugiyama, Akiyuki / Toyoda, Yasutaka / Nagatomo, Wataru / Sutani, Takumichi / Matsuoka, Ryoichi et al. | 2005
- 559
-
Metrology of deep trench etched memory structures using 3D scatterometryReinig, Peter / Dost, Rene / Moert, Manfred / Hingst, Thomas / Mantz, Ulrich / Moffitt, Jasen / Shakya, Sushil / Raymond, Christopher J. / Littau, Mike et al. | 2005
- 559
-
Metrology of deep trench etched memory structures using 3D scatterometry [5752-54]Reinig, P. / Dost, R. / Mort, M. / Hingst, T. / Mantz, U. / Moffitt, J. / Shakya, S. / Raymond, C. J. / Littau, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 570
-
Influence of material on process focus budget and process window of 80nm DRAM devicesChoi, Sung Won / Lee, SukJoo / Shin, Jangho / Woo, Sang-Gyun / Cho, HanKu / Moon, Joo-Tae et al. | 2005
- 570
-
Influence of material on process focus budget and process window of 80nm DRAM devices [5752-56]Choi, S. W. / Lee, S. / Shin, J. / Woo, S.-G. / Cho, H. / Moon, J.-T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 578
-
Improving STI etch process development by replacing XSEM metrology with scatterometry [5752-145]Sendelbach, M. / Munoz, A. / Herrera, P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 578
-
Improving STI etch process development by replacing XSEM metrology with scatterometrySendelbach, Matthew / Munoz, Andres / Herrera, Pedro et al. | 2005
- 591
-
Novel CD-SEM calibration reference patterned by EB cell projection lithographyNakayama, Yoshinori / Gonda, Satoshi / Misumi, Ichiko / Kurosawa, Tomizo / Kitta, Jun-ichiro / Mine, Hisaichi / Sasada, Katsuhiro / Yoneda, Shozo / Mizuno, Takeshi et al. | 2005
- 591
-
Novel CD-SEM calibration reference patterned by EB cell projection lithography [5752-58]Nakayama, Y. / Gonda, S. / Misumi, I. / Kurosawa, T. / Kitta, J. / Mine, H. / Sasada, K. / Yoneda, S. / Mizuno, T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 603
-
Qualification of an integrated scatterometer for CD measurements of sub- 100nm resist structures in a high-volume 300mm DRAM production environment [5752-59]Marschner, T. / Fleischer, G. / Fuchs, S. / Friedrich, M. / Kramer, U. / Voigt, M. / Hetzer, D. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 603
-
Qualification of an integrated scatterometer for CD measurements of sub-100nm resist structures in a high-volume 300mm DRAM production environmentMarschner, Thomas / Fleischer, Goeran / Fuchs, Stefan / Friedrich, Michael / Kramer, Uwe / Voigt, Matthias / Hetzer, Dave et al. | 2005
- 613
-
Distance-based standard deviation analysis method for line width roughness dataBzik, Thomas J. / Rao, Madhukar B. / Zhang, Peng et al. | 2005
- 613
-
Distance-based standard deviation analysis method for line width roughness data [5752-169]Bzik, T. J. / Rao, M. B. / Zhang, P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 621
-
The effect of mask substrate and mask process steps on patterned photomask flatnessRacette, Kenneth / Barrett, Monica / Hibbs, Michael / Levy, Max et al. | 2005
- 621
-
The effect of mask substrate and mask process steps on patterned photomask flatness [5752-60]Racette, K. / Barrett, M. / Hibbs, M. / Levy, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 632
-
Impact of EUV mask pattern profile shape on CD measured by CD-SEMDersch, Uwe / Korn, Arnd / Engelmann, Cornelia / Frase, Carl G. / Haessler-Grohne, Wolfgang / Bosse, Harald / Letzkus, Florian / Butschke, Joerg et al. | 2005
- 632
-
Impact of EUV mask pattern profile shape on CD measured by CD-SEM [5752-61]Dersch, U. / Korn, A. / Engelmann, C. / Frase, C. G. / Hassler-Grohne, W. / Bosse, H. / Letzkus, F. / Butschke, J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 646
-
Exploring advanced mask repair options [5752-62]Fisch, E. / Brodsky, C. / Flanigan, P. / Lawliss, M. / Rankin, J. / Thibault, D. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 646
-
Exploring advanced mask repair optionsFisch, Emily / Brodsky, Colin / Flanigan, Philip / Lawliss, Mark / Rankin, Jed / Thibault, David et al. | 2005
- 654
-
Understanding and reduction of defects on finished EUV masks [5752-63]Liang, T. / Sanchez, P. / Zhang, G. / Shu, E. / Nagpal, R. / Stivers, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 654
-
Understanding and reduction of defects on finished EUV masksLiang, Ted / Sanchez, Peter / Zhang, Guojing / Shu, Emily / Nagpal, Rajesh / Stivers, Alan et al. | 2005
- 663
-
Double-grating lateral shearing interferometer for EUV optics at-wavelength measurement [5752-64]Liu, Z. / Okada, M. / Sugisaki, K. / Ishii, M. / Zhu, Y. / Ohtaki, K. / Saito, J. / Suzuki, A. / Hasegawa, M. / Ouchi, C. et al. | 2005
- 663
-
Double-grating lateral shearing interferometer for EUV optics at-wavelength measurementLiu, Zhiqiang / Okada, Masashi / Sugisaki, Katsumi / Ishii, Mikihiko / Zhu, Yucong / Ohtaki, Katsura / Saito, Jun / Suzuki, Akiyoshi / Hasegawa, Masanobu / Ouchi, Chidane et al. | 2005
- 673
-
Wavelength dependent mask defects [5752-65]Badger, K. / Butt, S. / Burnham, J. / Faure, T. / Hibbs, M. / Rankin, J. / Thibault, D. / Watts, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 673
-
Wavelength dependent mask defectsBadger, Karen / Butt, Shahid / Burnham, Jay / Faure, Tom / Hibbs, Michael / Rankin, Jed / Thibault, David / Watts, Andrew et al. | 2005
- 682
-
Reaching a CD uniformity of below 3 nm for 300 mm post-etch wafers by adjusting the CD distribution of ADI wafers [5752-66]Sun, J.-W. / Huang, Y.-F. / Lee, S.-S. / Yu, C.-C. / Lin, B. S.-M. / Fu, S. / Slessor, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 682
-
Reaching a CD uniformity of below 3 nm for 300 mm post-etch wafers by adjusting the CD distribution of ADI wafersSun, Jie-Wei / Huang, Yong-Fa / Lee, Sho-Shen / Yu, Chun-Chi / Lin, Benjamin S. / Fu, Steven / Slessor, Mike et al. | 2005
- 692
-
Comprehensive CD uniformity control across lithography and etch [5752-67]Zhang, Q. / Tang, C. / Hsieh, T. / Maccrae, N. / Singh, B. / Poolla, K. / Spanos, C. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 692
-
Comprehensive CD uniformity control across lithography and etchZhang, Qiaolin / Tang, Cherry / Hsieh, Tony / Maccrae, Nick / Singh, Bhanwar / Poolla, Kameshwar / Spanos, Costas J. et al. | 2005
- 702
-
Implementation of integrated auto macro-defect inspection in the photolithography tool cluster [5752-68]Lewis, R. E. / Menon, V. C. / Vishnu, V. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 702
-
Implementation of integrated auto macro-defect inspection in the photolithography tool clusterLewis, Richard E. / Menon, Vinayan C. / Vishnu, Vandana et al. | 2005
- 711
-
Impact of averaging of CD-SEM measurements on process stability in a full volume DRAM production environment [5752-69]Marschner, T. / Kramer, U. / Lee, A. / Stief, C. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 711
-
Impact of averaging of CD-SEM measurements on process stability in a full volume DRAM production environmentMarschner, Thomas / Kramer, Uwe / Lee, Anice / Stief, Christian et al. | 2005
- 720
-
OPC accuracy enhancement through systematic OPC calibration and verification methodology for sub- 100nm node [5752-70]Yang, H. / Choi, J. / Cho, B. / Yim, D. / Kim, J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 720
-
OPC accuracy enhancement through systematic OPC calibration and verification methodology for sub-100nm nodeYang, Hyunjo / Choi, Jaeseung / Cho, Byungug / Cho, Byeongho / Yim, Donggyu / Kim, Jinwoong et al. | 2005
- 727
-
Sampling plan optimization for CD control in low k~1 lithography [5752-71]Asano, M. / Koike, T. / Mikami, T. / Abe, H. / Ikeda, T. / Tanaka, S. / Mimotogi, S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 727
-
Sampling plan optimization for CD control in low k1lithographyAsano, Masafumi / Koike, Toru / Mikami, Toru / Abe, Hideaki / Ikeda, Takahiro / Tanaka, Satoshi / Mimotogi, Shoji et al. | 2005
- 736
-
Usage of profile information obtained with scatterometryKoike, Toru / Asano, Masafumi / Mikami, Toru / Yamazaki, Yuichiro et al. | 2005
- 736
-
Usage of profile information obtained with scatterometry [5752-24]Koike, T. / Asano, M. / Mikami, T. / Yamazaki, Y. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 744
-
Examination of possible primary mechanisms for 193nm resist shrinkageAkerman, Lior / Eytan, Guy / Uchida, Ryusuke / Fujimura, Satoshi / Mimura, Takeyoshi et al. | 2005
- 744
-
Examination of possible primary mechanisms for 193nm resist shrinkage [5752-55]Akerman, L. / Eytan, G. / Uchida, R. / Fujimura, S. / Mimura, T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 755
-
Standing wave reduction of positive and negative I-line resists [5752-74]Grandpierre, A. G. / Schiwon, R. / Finger, F. / Schroder, U. P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 755
-
Standing wave reduction of positive and negative I-line resistsGrandpierre, A. G. / Schiwon, R. / Finger, F. / Schroder, U. P. et al. | 2005
- 765
-
Critical dimension control on I-line steppersSchiwon, Roberto / Grandpierre, Alexandra G. / Kubis, Michael / Schroder, Uwe P. et al. | 2005
- 765
-
Critical dimension control on I-line steppers [5752-75]Schiwon, R. / Grandpierre, A. G. / Kubis, M. / Schroder, U. P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 774
-
Methodology and mechanism study on high aspect ratio (HAR) contact bottom image in scanning electron microscopyKang, H. C. / Lim, J. T. / Choi, J. S. / Lee, T. Y. / Lee, B. H. / Chin, S. B. / Cho, D. H. et al. | 2005
- 774
-
Methodology and mechanism study on high aspect ratio (HAR) contact bottom image in scanning electron microscopy [5752-77]Kang, H. C. / Lim, J. T. / Choi, J. S. / Lee, T. Y. / Lee, B. H. / Chin, S. B. / Cho, D. H. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 782
-
X-ray nanoplasma instruments and toolsBloom, S. H. / Rieger, H. / Alwan, J. et al. | 2005
- 782
-
X-ray nanoplasma instruments and tools [5752-78]Bloom, S. H. / Rieger, H. / Alwan, J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 790
-
Arbitrary cross sections and stack forms measurement simulations for the semiconductor circuits by scatterometryShirasaki, Hirokimi et al. | 2005
- 790
-
Arbitrary cross sections and stack forms measurement simulations for the semiconductor circuits by scatterometry [5752-80]Shirasaki, H. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 798
-
Observation of subsurface structures using high-energy SEMMatsui, Miyako / Machida, Syuntaro / Todokoro, Hideo / Otaka, Tadashi / Sugimoto, Aritoshi et al. | 2005
- 798
-
Observation of subsurface structures using high-energy SEM [5752-81]Matsui, M. / Machida, S. / Todokoro, H. / Otaka, T. / Sugimoto, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 807
-
Optical characterization of diffractive optical elements replicated in polymers [5752-82]Tamulevicius, S. / Janusas, G. / Guobiene, A. / Palevicius, A. / Ostasevicius, V. / Andrulevicius, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 807
-
Optical characterization of diffractive optical elements replicated in polymersTamulevicius, Sigitas / Janusas, Giedrius / Guobiene, Asta / Palevicius, Arvydas / Ostasevicius, Vytautas / Andrulevicius, Mindaugas et al. | 2005
- 815
-
Separating cluster contribution to improve CD distribution using simultaneous dose-focus monitoring on production wafersArmellin, Louis-Pierre / Dureuil, Virginie / Guillaume, Olivier / Alet, Philippe / Eichelberger, Brad / Egreteau, Michel / Polli, Marco / Dinu, Berta et al. | 2005
- 815
-
Separating cluster contribution to improve CD distribution using simultaneous dose-focus monitoring on production wafers [5752-84]Armellin, L.-P. / Dureuil, V. / Guillaume, O. / Alet, P. / Eichelberger, B. / Egreteau, M. / Polli, M. / Dinu, B. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 827
-
Etching error analysis of dot grating array in microlithography fabricationBao, N. K. / Chen, Z. Y. et al. | 2005
- 827
-
Etching error analysis of dot grating array in microlithography fabrication [5752-85]Bao, N. K. / Chen, Z. Y. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 836
-
Investigation on the effect of metallic impurity Zn in solvent during photolithography processJeon, Byoung-Tak / Kim, Ook-Hyun / Baik, Jeong-Heon / Ha, Jeong-Hyuk / Lee, Il-Ho / Yang, Weon-Sik et al. | 2005
- 836
-
Investigation on the effect of metallic impurity Zn in solvent during photolithography process [5752-87]Jeon, B.-T. / Kim, O.-H. / Baik, J.-H. / Ha, J.-H. / Lee, I.-H. / Yang, W.-S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 846
-
On board polarization measuring instrument for high NA excimer scannerFujii, Toru / Kita, Naonori / Mizuno, Yasushi et al. | 2005
- 846
-
On board polarization measuring instrument for high NA excimer scanner [5752-88]Fujii, T. / Kita, N. / Mizuno, Y. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 853
-
The performances of different overlay mark types at 65nm node on 300-mm wafers [5752-89]Tseng, H. T. / Lin, L.-C. / Huang, I. H. / Lin, B. S.-M. / Huang, C.-C. K. / Huang, C.-J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 853
-
The performances of different overlay mark types at 65nm node on 300-mm wafersTseng, H. T. / Lin, Ling-Chieh / Huang, I. H. / Lin, Benjamin S. / Huang, Chin-Chou K. / Huang, Chien-Jen et al. | 2005
- 864
-
Monitoring system of effective exposure dose and focus [5752-92]Yabe, S. / Minami, A. / Nasuno, T. / Matsubara, Y. / Tsujita, K. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 864
-
Monitoring system of effective exposure dose and focusYabe, Sachiko / Minami, Akiyuki / Nasuno, Takashi / Matsubara, Yoshihisa / Tsujita, Koichiro et al. | 2005
- 874
-
Spectroscopic ellipsometer with the smallest measurement spotKinoshita, Akihiro / Kitamura, Fujikazu / Horie, Masahiro / Yoshida, Tomohiro et al. | 2005
- 874
-
Spectroscopic ellipsometer with the smallest measurement spot [5752-94]Kinoshita, A. / Kitamura, F. / Horie, M. / Yoshida, T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 882
-
Characterization and improvement of unpatterned wafer defect review on SEMsParkes, Alan S. / Marek, Zane et al. | 2005
- 882
-
Characterization and improvement of unpatterned wafer defect review on SEMs [5752-95]Parkes, A. S. / Marek, Z. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 893
-
SEMATECH’s world class EUV mask blank metrology toolsetSeo, Soon-Cheon / Cavelaars, Jan / Maltabes, John / Han, Sang-In / Kearney, Patrick / Krick, Dave et al. | 2005
- 893
-
SEMATECH's world class EUV mask blank metrology toolset [5752-96]Seo, S.-C. / Cavelaars, J. / Maltabes, J. / Han, S.-I. / Kearney, P. / Krick, D. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 904
-
Dual side lithography measurement, precision, and accuracy [5752-97]Schurz, D. / Flack, W. W. / Hsieh, R. L. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 904
-
Dual side lithography measurement, precision, and accuracySchurz, Daniel / Flack, Warren W. / Hsieh, Robert L. et al. | 2005
- 912
-
Performance of measuring contact holes using the Opti-Probe 7341 3-D RT/CD technologySorkhabi, Osman / Pois, Heath / Chu, Hanyou / Wen, Youxian / Opsal, Jon / Kim, Won D. et al. | 2005
- 912
-
Performance of measuring contact holes using the Opti-Probe 7341 3-D RT/CD technology [5752-99]Sorkhabi, O. / Pois, H. / Chu, H. / Wen, Y. / Opsal, J. / Kim, W. D. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 922
-
Calibration of a dual probe NanoCaliper AFM for CD metrology [5752-100]McClure, P. F. / Mancevski, V. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 922
-
Calibration of a dual probe NanoCaliper AFM for CD metrologyMcClure, Paul F. / Mancevski, Vladimir et al. | 2005
- 937
-
Information server test case: the effects of probe current stability on CD-SEM measurement [5752-101]Redmond, S. / Weller, R. / Tomasco, R. / Keese, B. / Spaniola, N. / Maeda, T. / Takenouchi, K. / Page, L. / Danilevsky, A. / Williams, R. et al. | 2005
- 937
-
Information server test case: the effects of probe current stability on CD-SEM measurementRedmond, Susan / Weller, Ron / Tomasco, Richard / Keese, Bill / Spaniola, Nick / Maeda, Tatsuya / Takenouchi, Kenichi / Page, Lorena / Danilevsky, Alex / Williams, Roger et al. | 2005
- 948
-
Advances in phase-grating-based wafer alignment systemsKeij, Stefan / Setija, Irwan / van der Zouw, Gerbrand / Ebert, Earl et al. | 2005
- 948
-
Advances in phase-grating-based wafer alignment systems [5752-102]Keij, S. / Setija, I. / van der Zouw, G. / Ebert, E. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 961
-
A super-precision evaluation method of ultra-low expansion glasses using the line-focus-beam ultrasonic material characterization system [5752-104]Kushibiki, J. / Arakawa, M. / Ohashi, Y. / Suzuki, K. / Maruyama, T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 961
-
A super-precision evaluation method of ultra-low expansion glasses using the line-focus-beam ultrasonic material characterization systemKushibiki, Jun-ichi / Arakawa, Mototaka / Ohashi, Yuji / Suzuki, Kouji / Maruyama, Takahisa et al. | 2005
- 966
-
From CD to 3D sidewall roughness analysis with 3D CD-AFMFoucher, Johann et al. | 2005
- 966
-
From CD to 3D sidewall roughness analysis with 3D CD-AFM [5752-105]Foucher, J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 977
-
Modeling of wafer alignment marks using geometrical theory of diffraction (GTD)Tan, Chin B. / Yeo, Swee H. / Khoh, Andrew et al. | 2005
- 977
-
Modeling of wafer alignment marks using geometrical theory of diffraction (GTD) [5752-106]Tan, C. B. / Yeo, S. H. / Khoh, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 987
-
Comparisons of overlay measurement using conventional bright-field microscope and angular scatterometer [5752-107]Ko, C.-H. / Ku, Y. / Smith, N. / Shyu, D.-M. / Wang, S.-C. / Lu, S.-H. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 987
-
Comparisons of overlay measurement using conventional bright-field microscope and angular scatterometerKo, Chun-Hung / Ku, Yi-sha / Smith, Nigel / Shyu, Deh-Ming / Wang, Shih-Chun / Lu, Sheng-Hua et al. | 2005
- 997
-
Development of voltage contrast defect inspection technique for line monitoring 300mm ULSI hp90 logic contact layerHayashi, Hiroyuki / Fukunaga, Yuji / Yamasaki, Masayoshi / Nagai, Takamitsu / Yamazaki, Yuichiro et al. | 2005
- 997
-
Development of voltage contrast defect inspection technique for line monitoring 300mm ULSI hp90 logic contact layer [5752-108]Hayashi, H. / Fukunaga, Y. / Yamasaki, M. / Nagai, T. / Yamazaki, Y. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1009
-
Optical digital profilometry (ODP) feasibility studies for CD control in lithography applicationsYamamoto, Masahiro / Iwabuchi, Shoichi et al. | 2005
- 1009
-
Optical digital profilometry (ODP) feasibility studies for CD control in lithography applications [5752-109]Yamamoto, M. / Iwabuchi, S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1017
-
Repair simulation with image processing and artificial intelligenceChoi, Yo-Han / Sung, Moon-Kyu / Lee, Sang-Hyun / Lee, Ji-Hyung / Park, Jin-Hong / Choi, Ji-Hyun / Moon, Seong-Yong / Choi, Sung-Woon / Han, Woo-Sung et al. | 2005
- 1017
-
Repair simulation with image processing and artificial intelligence [5752-110]Choi, Y.-H. / Sung, M.-K. / Lee, S.-H. / Lee, J.-H. / Park, J.-H. / Choi, I.-H. / Moon, S.-Y. / Choi, S.-W. / Han, W.-S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1024
-
Automatic classification of microlithography macrodefects using a knowledge-based system [5752-112]Darwin, M. / Kinikoglu, P. / Liu, Y. / Darwin, K. / Clerico, J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1024
-
Automatic classification of microlithography macrodefects using a knowledge-based systemDarwin, Michael / Kinikoglu, Pinar / Liu, Yongqiang / Darwin, Kristin / Clerico, Jana et al. | 2005
- 1033
-
Camera correlation focus: an image-based focusing technique [5752-113]Reynolds, G. / Hammond, M. / Binns, L. A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1033
-
Camera correlation focus: an image-based focusing techniqueReynolds, Greg / Hammond, Mike / Binns, Lewis A. et al. | 2005
- 1042
-
Advances in hardware, software, and automation for 193nm aerial image measurement systems [5752-114]Zibold, A. M. / Schmid, R. / Seyfarth, A. / Wachter, M. / Harnisch, W. / Doornmalen, H. v. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1042
-
Advances in hardware, software, and automation for 193nm aerial image measurement systemsZibold, Axel M. / Schmid, R. / Seyfarth, A. / Waechter, M. / Harnisch, W. / Doornmalen, H. v. et al. | 2005
- 1050
-
Optical metrology of patterned magnetic structures: deep versus shallow gratings [5752-115]Antos, R. / Veis, M. / Liskova, E. / Aoyama, M. / Hamrle, J. / Kimura, T. / Gustafik, P. / Horie, M. / Mistrik, J. / Yamaguchi, T. et al. | 2005
- 1050
-
Optical metrology of patterned magnetic structures: deep versus shallow gratingsAntos, Roman / Veis, Martin / Liskova, Eva / Aoyama, Mitsuru / Hamrle, Jaroslav / Kimura, Takashi / Gustafik, Pavol / Horie, Masahiro / Mistrik, Jan / Yamaguchi, Tomuo et al. | 2005
- 1060
-
Comparison of overlay metrology with analogue and digital camerasRigden, Timothy C. / Soroka, Andrew J. / Binns, Lewis A. et al. | 2005
- 1060
-
Comparison of overlay metrology with analogue and digital cameras [5752-116]Rigden, T. C. / Soroka, A. J. / Binns, L. A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1069
-
High speed reflectometer for EUV mask-blanks [5752-117]Wies, C. / Lebert, R. / Jagle, B. / Juschkin, L. / Sobel, F. / Seitz, H. / Walter, R. / Laubis, C. / Scholze, F. / Biel, W. et al. | 2005
- 1069
-
High speed reflectometer for EUV mask-blanksWies, C. / Lebert, R. / Jaegle, B. / Juschkin, L. / Sobel, F. / Seitz, H. / Walter, R. / Laubis, C. / Scholze, F. / Biel, W. et al. | 2005
- 1080
-
Removal of low concentrations of acid gases: issues and solutions [5752-119]Dallas, A. J. / Ding, L. / Exley, J. / Joriman, J. / Hoang, B. / Parsons, J. / Seguin, K. / Zastera, D. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1080
-
Removal of low concentrations of acid gases: issues and solutionsDallas, Andrew J. / Ding, Lefei / Exley, Jeremy / Joriman, Jon / Hoang, Brian / Parsons, Jonathan / Seguin, Kevin / Zastera, Dustin et al. | 2005
- 1092
-
Effective control of photomask surface chemical residuals through thermal treatmentKang, Han-Byul / Kim, Jong-Min / Kim, Yong-Dae / Cho, Hyun-Joon / Choi, Sang-Soo et al. | 2005
- 1092
-
Effective control of photomask surface chemical residuals through thermal treatment [5752-120]Kang, H.-B. / Kim, J.-M. / Kim, Y.-D. / Cho, H.-J. / Choi, S.-S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1098
-
Critical issues in quantifying line edge roughnessNikitin, A. / Sicignano, A. / Yeremin, D. / Sandy, M. / Goldburt, T. et al. | 2005
- 1098
-
Critical issues in quantifying line edge roughness [5752-122]Nikitin, A. / Sicignano, A. / Yeremin, D. / Sandy, M. / Goldburt, T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1107
-
Novel two channel self-registering integrated macro inspection toolAiyer, Arun A. / Meloni, Mark / Kueny, Andrew / Whelan, Mike et al. | 2005
- 1107
-
Novel two channel self-registering integrated macro inspection tool [5752-123]Aiyer, A. A. / Meloni, M. / Kueny, A. / Whelan, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1117
-
Strained-silicon metrology using a multi-technology optical system [5752-124]Pois, H. / Morris, S. / Opsal, J. / Paranjpe, A. / Cody, N. / Landin, T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1117
-
Strained-silicon metrology using a multi-technology optical systemPois, Heath / Morris, Stephen / Opsal, Jon / Paranjpe, Ajit / Cody, Nyles / Landin, Trevan et al. | 2005
- 1127
-
Cost effective CD control for DUV implant layers using the Archer MPX focus-exposure monitor [5752-126]Hannon, S. / Eichelberger, B. / Nelson, C. / Dinu, B. / Kennemer, H. / Monahan, K. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1127
-
Cost effective CD control for DUV implant layers using the Archer MPX focus-exposure monitorHannon, Sean / Eichelberger, Brad / Nelson, Chris / Dinu, Berta / Kennemer, Harold / Monahan, Kevin et al. | 2005
- 1137
-
Critical dimension uniformity control with combined ellipsometry and reflectometryOpsal, Jon / Leng, Jingmin / Cao, Xuelong et al. | 2005
- 1137
-
Critical dimension uniformity control with combined ellipsometry and reflectometry [5752-127]Opsal, J. / Leng, J. / Cao, X. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1152
-
Measurement of deep silicon trench profile using normal incidence optical CD metrologyLiu, Zhuan / Zhang, Xiaodong / Hu, Jiangtao / Roy, D. J. et al. | 2005
- 1152
-
Measurement of deep silicon trench profile using normal incidence optical CD metrology [5752-128]Liu, Z. / Zhang, X. / Hu, J. / Roy, D. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1161
-
Optical characterization of 193nm amorphous carbon ARC films [5752-129]Leng, J. / Opsal, J. / Pois, H. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1161
-
Optical characterization of 193nm amorphous carbon ARC filmsLeng, Jingmin / Opsal, Jon / Pois, Heath et al. | 2005
- 1173
-
Utilizing overlay target noise metrics for improved process control [5752-131]Hannon, S. / Kennemer, H. / Robinson, J. C. / Cusacovich, M. / Nelson, C. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1173
-
Utilizing overlay target noise metrics for improved process controlHannon, Sean / Robinson, John C. / Cusacovich, Marcelo / Nelson, Chris / Kennemer, Harold et al. | 2005
- 1180
-
Improved shallow trench isolation and gate process control using scatterometry based metrologyRudolph, P. / Bradford, S. M. et al. | 2005
- 1180
-
Improved shallow trench isolation and gate process control using scatterometry based metrology [5752-132]Rudolph, P. / Bradford, S. M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1192
-
Experimental comparison of absolute PDI and lateral shearing interferometer [5752-133]Zhu, Y. / Sugisaki, K. / Okada, M. / Otaki, K. / Liu, Z. / Ishii, M. / Kawakami, J. / Saito, J. / Murakami, K. / Ouchi, C. et al. | 2005
- 1192
-
Experimental comparison of absolute PDI and lateral shearing interferometerZhu, Yucong / Sugisaki, Katsumi / Okada, Masashi / Otaki, Katsura / Liu, Zhiqian / Ishii, Mikihiko / Kawakami, Jun / Saito, Jun / Murakami, Katsuhiko / Ouchi, Chidane et al. | 2005
- 1200
-
Three-dimensional measurement by tilting and moving objective lens in CD-SEM(III)Abe, K. / Tsuruga, Y. / Okada, S. / Noma, T. / Aoki, H. / Fujii, H. / Koike, H. / Hamaguchi, A. / Yamazaki, Y. et al. | 2005
- 1200
-
Three-dimensional measurement by tilting and moving objective lens in CD-SEM (III) [5752-134]Abe, K. / Tsuruga, Y. / Okada, S. / Noma, T. / Aoki, H. / Fujii, H. / Koike, H. / Hamaguchi, A. / Yamazaki, Y. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1209
-
Lithography tool qualification using angular scatterometryLittau, Mike / Raymond, Christopher J. / Dasari, Prasad / Moffitt, Jasen / Shakya, Sushil et al. | 2005
- 1209
-
Lithography tool qualification using angular scatterometry [5752-135]Littau, M. / Raymond, C. J. / Dasari, P. / Moffitt, J. / Shakya, S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1219
-
Electron beam inspection system for semiconductor wafer based on projection electron microscopy: IISatake, Tohru / Noji, Nobuharu / Murakami, Takeshi / Tsujimura, Manabu / Nagahama, Ichirota / Yamazaki, Yuichiro / Onishi, Atsushi et al. | 2005
- 1219
-
Electron beam inspection system for semiconductor wafer based on projection electron microscopy: II [5752-136]Satake, T. / Noji, N. / Murakami, T. / Tsujimura, M. / Nagahama, I. / Yamazaki, Y. / Onishi, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1227
-
Characterization and modeling of line width roughness (LWR) [5752-141]Constantoudis, V. / Gogolides, E. / Roberts, J. / Stowers, J. K. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1227
-
Characterization and modeling of line width roughness (LWR)Constantoudis, Vassilios / Gogolides, Evangelos / Roberts, Jeanette / Stowers, Jason K. et al. | 2005
- 1237
-
Inline sidewall angle monitoring of memory capacitor profiles [5752-143]Rathsack, B. M. / Bushman, S. G. / Celii, F. G. / Ayres, S. F. / Kris, R. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1237
-
Inline sidewall angle monitoring of memory capacitor profilesRathsack, Ben M. / Bushman, Scott G. / Celii, Francis G. / Ayres, Stephen F. / Kris, Roman et al. | 2005
- 1248
-
Metrology of laser-produced plasma light source for EUV lithographyBoewering, N. R. / Hoffman, J. R. / Khodykin, O. V. / Rettig, C. L. / Hansson, B. A. M. / Ershov, A. I. / Fomenkov, I. V. et al. | 2005
- 1248
-
Metrology of laser-produced plasma light source for EUV lithography [5752-144]Bowering, N. R. / Hoffman, J. R. / Khodykin, O. V. / Rettig, C. L. / Hansson, B. A. M. / Ershov, A. I. / Fomenkov, I. V. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1257
-
An investigation of a new generation of progressive mask defects on the pattern side of advanced photomasksBhattacharyya, Kaustuve / Eickhoff, Mark / Grenon, Brian / Ma, Mark / Pas, Sylvia et al. | 2005
- 1257
-
An investigation of a new generation of progressive mask defects on the pattern side of advanced photomasks [5752-146]Bhattacharyya, K. / Eickhoff, M. / Grenon, B. / Ma, M. / Pas, S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1266
-
Application of 3D scatterometry to contacts and vias at 90nm and beyond [5752-148]Huang, J. / Barry, K. / Ke, C.-M. / Lin, B. / Li, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1266
-
Application of 3D scatterometry to contacts and vias at 90nm and beyondHuang, Jacky / Barry, Kelly / Ke, Chih-Ming / Lin, Burn / Li, Allen et al. | 2005
- 1271
-
Effects of high moisture on the purification of clean compressed air [5752-149]Rotter, J. / Alvarez, D. / Tram, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1271
-
Effects of high moisture on the purification of clean compressed airRotter, Joe / Alvarez, Daniel / Tram, Allan et al. | 2005
- 1277
-
Minimizing the impact of image acquisition on CD-SEM LER/LWR measurements [5752-150]Sundaram, G. / Lee, B.-H. / Mai, T. / Sullivan, N. T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1277
-
Minimizing the impact of image icquisition on CD-SEM LER/LWR measurementsSundaram, G. / Lee, B.-H. / Mai, T. / Sullivan, N. T. et al. | 2005
- 1283
-
Monitoring method for automated CD-SEM recipesMaeda, Tatsuya / Iwama, Satoru / Nishihara, Makoto / Berger, Daniel / Berger, Andrew / Ueda, Kazuhiro / Kenichi, Takenouchi / Iizumi, Takashi et al. | 2005
- 1283
-
Monitoring method for automated CD-SEM recipes [5752-151]Maeda, T. / Iwama, S. / Nishihara, M. / Berger, D. / Berger, A. / Ueda, K. / Kenichi, T. / Iizumi, T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1292
-
Evaluation of line and hole measurement by high-resolution low-magnification CD SEMKe, Chih-Ming / Lee, Chi-Chuang / Wang, Yu-Hsi / Lee, Heng-Jen / Lin, Chin-Hsiang / Gau, Tsai-Sheng / Lin, Burn J. / Kawada, Hiroki / Ueda, Kazuhiro / Nomura, Hiroaki et al. | 2005
- 1292
-
Evaluation of line and hole measurement by high-resolution/low-magnification CD SEM [5752-152]Ke, C.-M. / Lee, C.-C. / Wang, Y.-H. / Lee, H.-J. / Lin, C.-H. / Gau, T.-S. / Lin, B. J. / Kawada, H. / Ueda, K. / Nomura, H. et al. | 2005
- 1300
-
Characterization of E-beam induced resist slimming using etched feature measurementsYates, Colin / Sapp, Galen / Knutrud, Paul et al. | 2005
- 1300
-
Characterization of e-beam induced resist slimming using etched feature measurements [5752-153]Yates, C. / Sapp, G. / Knutrud, P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1307
-
ArF-resist line width slimming variation with threshold level in high precision CD-SEM measurement [5752-155]Kawada, H. / Ojima, Y. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1307
-
ArF-resist line width slimming variation with threshold level in high precision CD-SEM measurementKawada, Hiroki / Ojima, Yuki et al. | 2005
- 1312
-
Scatterometry based 65nm node CDU analysis and prediction using novel reticle measurement technique [5752-156]van Ingen Schenau, K. / Vanoppen, P. / van der Laan, H. / Kiers, T. / Janssen, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1312
-
Scatterometry based 65nm node CDU analysis and prediction using novel reticle measurement techniquevan Ingen Schenau, Koen / Vanoppen, Peter / van der Laan, Hans / Kiers, Ton / Janssen, Maurice et al. | 2005
- 1323
-
Developing an understanding of electron beam imaging of deep contact hole structures using Monte Carlo and spatial charge distribution simulationsSullivan, Neal / Lee, Byoung H. / Ko, Yeong-Uk et al. | 2005
- 1323
-
Developing an understanding of electron beam imaging of deep contact hole structures using Monte Carlo and spatial charge distribution simulations [5752-157]Sullivan, N. / Lee, B. H. / Ko, Y.-U. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1331
-
Scatterometry measurement method for gate CD control of sub-130nm technology [5752-158]Jang, J. / Kwak, S. / Lee, K. / Kim, K. / Park, H. / Youn, J. / Sohn, L. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1331
-
Scatterometry measurement method for gate CD control of sub-130nm technologyJang, Jeongyeol / Kwak, Sungho / Lee, Karl / Kim, Keeho / Park, Heongsu / Youn, James / Sohn, Lucas et al. | 2005
- 1341
-
Evaluation of Hitachi CAD to CD-SEM metrology package for OPC model tuning and product devices OPC verificationCantu, Pietro / Capetti, Gianfranco / Catarisano, Chiara / D'Angelo, Fabrizio / Evangelista, Elena / Severgnini, Ermes / Trovati, Silvia / Vasconi, Mauro / Sutani, Takumichi / Wahl, Stephan et al. | 2005
- 1341
-
Evaluation of Hitachi CAD to CD-SEM metrology package for OPC model tuning and product devices OPC verification [5752-159]Cantu, P. / Capetti, G. / Catarisano, C. / D Angelo, F. / Evangelista, E. / Severgnini, E. / Trovati, S. / Vasconi, M. / Sutani, T. / Wahl, S. et al. | 2005
- 1353
-
Development of beam-tilt angle calibration method for CD-SEMSetoguchi, Katsumi / Morokuma, Hidetoshi / Miyamoto, Atsushi / Tanaka, Maki et al. | 2005
- 1353
-
Development of beam-tiit angle calibration method for CD-SEM [5752-160]Setoguchi, K. / Morokuma, H. / Miyamoto, A. / Tanaka, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1362
-
Impact of long-period line-edge roughness (LER) on accuracy in CD measurementYamaguchi, Atsuko / Fukuda, Hiroshi / Kawada, Hiroki / Iizumi, Takashi et al. | 2005
- 1362
-
Impact of long-period line-edge roughness (LER) on accuracy in CD measurement [5752-161]Yamaguchi, A. / Fukuda, H. / Kawada, H. / Iizumi, T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1371
-
Focus and dose measurement method in volume productionIna, Hideki / Oishi, Satoru / Sentoku, Koichi et al. | 2005
- 1371
-
Focus and dose measurement method in volume production [5752-163]Ina, H. / Oishi, S. / Sentoku, K. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1383
-
Characterization analysis study of mu-bridge defect using simulation and wafer inspection tools [5752-164]Lee, T. / Lee, B. / Chin, S. / Cho, D. / Song, C.-I. / Fernandez, J. P. / Choi, D. / Grella, L. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1383
-
Characterization analysis study of μ-bridge defect using simulation and wafer inspection toolsLee, Tae-yong / Lee, Byoung-ho / Chin, Soo-bok / Cho, Do-hyun / Song, Chang-lyong / Fernandez, Jorge P. / Choi, Domingo / Grella, Luca et al. | 2005
- 1392
-
Optical considerations of high-resolution photomask phase metrologyMerriam, A. J. / Jacob, J. J. et al. | 2005
- 1392
-
Optical considerations of high-resolution photomask phase metrology [5752-165]Merriam, A. J. / Jacob, J. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1402
-
Optimization of scatterometry parameters for the gate level of the 90 nm node [5752-170]Leray, P. / Cheng, S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1402
-
Optimization of scatterometry parameters for the gate level of the 90 nm nodeLeray, Philippe / Cheng, Shaunee et al. | 2005
- 1413
-
Overlay measurement accuracy verification using CD-SEM and application to the quantification of WIS caused by BARCLecarpentier, Laurent / Vachellerie, Vincent / Kassel, Elyakim / Avrahamov, Yosef / Huang, Chin-Chou K. / Felten, Frank / Polli, Marco / Feneyrou, Aurelien / Thony, Philippe / Guillot, Stephane et al. | 2005
- 1413
-
Overlay measurement accuracy verification using CD-SEM and application to the quantification of WIS caused by BARC [5752-172]Lecarpentier, L. / Vachellerie, V. / Kassel, E. / Avrahamov, Y. / Huang, C.-C. K. / Felten, F. / Polli, M. / Feneyrou, A. / Thony, P. / Guillot, S. et al. | 2005
- 1424
-
Use of design pattern layout for automatic metrology recipe generationTabery, Cyrus / Page, Lorena et al. | 2005
- 1424
-
Use of design pattern layout for automatic metrology recipe generation [5752-173]Tabery, C. / Page, L. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1435
-
Advanced macro inspection provides data to address blister defects [5752-176]Smith, R. / Collins, S. M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1435
-
Advanced macro inspection provides data to address blister defectsSmith, Randy / Collins, Sean M. et al. | 2005
- 1441
-
Application of through-focus focus-metric analysis in high resolution optical metrology [5752-177]Attota, R. / Silver, R. M. / Germer, T. A. / Bishop, M. / Larrabee, R. / Stocker, M. T. / Howard, L. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1441
-
Application of through-focus focus-metric analysis in high resolution optical metrologyAttota, Ravikiran / Silver, Richard M. / Germer, Thomas A. / Bishop, Michael / Larrabee, Robert / Stocker, Michael T. / Howard, Lowel et al. | 2005
- 1450
-
Carbon nanotube atomic force microscopy probes [5752-178]Yamanaka, S. / Okawa, T. / Akita, S. / Nakayama, Y. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1450
-
Carbon nanotube atomic force microscopy probesYamanaka, Shigenobu / Okawa, Takashi / Akita, Seiji / Nakayama, Yoshikazu et al. | 2005
- 1457
-
Metrologies for quantitative nanomechanical testing and quality control in semiconductor manufacturingPratt, Jon R. / Kramar, John A. / Newell, David B. / Smith, Douglas T. et al. | 2005
- 1457
-
Metrologies for quantitative nanomechanical testing and quality control in semiconductor manufacturing [5752-167]Pratt, J. R. / Kramar, J. A. / Newell, D. B. / Smith, D. T. / SPIE-- the International Society for Optical Engineering et al. | 2005