Characterization of a laser-produced x-ray source with a double-stream gas puff target for x-ray and EUV lithography (Englisch)
- Neue Suche nach: Fiedorowicz, Henryk
- Neue Suche nach: Bartnik, Andrzej
- Neue Suche nach: Daido, Hiroyuki
- Neue Suche nach: Jarocki, Roman
- Neue Suche nach: Rakowski, Rafal
- Neue Suche nach: Suzuki, Masayuki
- Neue Suche nach: Szczurek, Miroslaw
- Neue Suche nach: Yamagami, Susumu
- Neue Suche nach: Fiedorowicz, Henryk
- Neue Suche nach: Bartnik, Andrzej
- Neue Suche nach: Daido, Hiroyuki
- Neue Suche nach: Jarocki, Roman
- Neue Suche nach: Rakowski, Rafal
- Neue Suche nach: Suzuki, Masayuki
- Neue Suche nach: Szczurek, Miroslaw
- Neue Suche nach: Yamagami, Susumu
In:
Proc. SPIE
;
4343
; 193
;
2001
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Characterization of a laser-produced x-ray source with a double-stream gas puff target for x-ray and EUV lithography
-
Beteiligte:Fiedorowicz, Henryk ( Autor:in ) / Bartnik, Andrzej ( Autor:in ) / Daido, Hiroyuki ( Autor:in ) / Jarocki, Roman ( Autor:in ) / Rakowski, Rafal ( Autor:in ) / Suzuki, Masayuki ( Autor:in ) / Szczurek, Miroslaw ( Autor:in ) / Yamagami, Susumu ( Autor:in )
-
Kongress:Emerging Lithographic Technologies V ; 2001 ; Santa Clara,CA,United States
-
Erschienen in:Proc. SPIE ; 4343 ; 193
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:20.08.2001
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Technology in the Internet eraBuss, Dennis D. et al. | 2001
- 1
-
Technology in the Internet era [4343-201]Buss, D. D. / SPIE-International Society for Optical Engineering et al. | 2001
- 12
-
Insertion of EUVL into high-volume manufacturing (Invited Paper) [4343-01]Silverman, P. J. / SPIE-International Society for Optical Engineering et al. | 2001
- 12
-
Insertion of EUVL into high-volume manufacturingSilverman, Peter J. et al. | 2001
- 19
-
System integration and performance of the EUV engineering test stand [4343-04]Tichenor, D. A. / Ray-Chaudhuri, A. K. / Replogle, W. C. / Stulen, R. H. / Kubiak, G. D. / Rockett, P. D. / Klebanoff, L. E. / Jefferson, K. J. / Leung, A. H. / Wronosky, J. B. et al. | 2001
- 19
-
System integration and performance of the EUV engineering test standTichenor, Daniel A. / Ray-Chaudhuri, Avijit K. / Replogle, William C. / Stulen, Richard H. / Kubiak, Glenn D. / Rockett, Paul D. / Klebanoff, Leonard E. / Jefferson, Karen J. / Leung, Alvin H. / Wronosky, John B. et al. | 2001
- 38
-
Progress of the EUVL alpha tool [4343-05]Meiling, H. / Benschop, J. P. / Dinger, U. / Kurz, P. / SPIE-International Society for Optical Engineering et al. | 2001
- 38
-
Progress of the EUVL alpha toolMeiling, Hans / Benschop, Jos P. / Dinger, Udo / Kuerz, Peter et al. | 2001
- 51
-
Multilayer optics for an extreme-ultraviolet lithography tool with 70-nm resolutionSoufli, Regina / Spiller, Eberhard A. / Schmidt, Mark A. / Davidson, Courtney / Grabner, R. Fred / Gullikson, Eric M. / Kaufmann, Benjamin B. / Mrowka, Stanley / Baker, Sherry L. / Chapman, Henry N. et al. | 2001
- 51
-
Multilayer optics for an extreme-ultraviolet lithography tool with 70-nm resolution [4343-07]Soufli, R. / Spiller, E. A. / Schmidt, M. A. / Davidson, J. C. / Grabner, R. F. / Gullikson, E. M. / Kaufmann, B. B. / Mrowka, S. / Baker, S. L. / Chapman, H. N. et al. | 2001
- 60
-
Feasibility study of EUV scanners [4343-08]Ota, K. / Murakami, K. / Kondo, H. / Oshino, T. / Sugisaki, K. / Komatsuda, H. / SPIE-International Society for Optical Engineering et al. | 2001
- 60
-
Feasibility study of EUV scannersOta, Kazuya / Murakami, Katsuhiko / Kondo, Hiroyuki / Oshino, Tetsuya / Sugisaki, Katsumi / Komatsuda, Hideki et al. | 2001
- 70
-
PREVAIL-EPL alpha tool electron optics subsystemPfeiffer, Hans C. / Dhaliwal, Rajinder S. / Golladay, Steven D. / Doran, Samuel K. / Gordon, Michael S. / Kendall, Rodney A. / Lieberman, Jon E. / Pinckney, David J. / Quickle, Robert J. / Robinson, Christopher F. et al. | 2001
- 70
-
PREVAIL-EPL alpha tool electron optics subsystem [4343-09]Pfeiffer, H. C. / Dhaliwal, R. S. / Golladay, S. D. / Doran, S. K. / Gordon, M. S. / Kendall, R. A. / Lieberman, J. E. / Pinckney, D. J. / Quickle, R. J. / Robinson, C. F. et al. | 2001
- 80
-
Nikon EB Stepper: the latest development status [4343-10]Suzuki, K. / Fujiwara, T. / Hada, K. / Hirayanagi, N. / Kawata, S. / Morita, K. / Okamoto, K. / Okino, T. / Shimizu, S. / Yahiro, T. et al. | 2001
- 80
-
Nikon EB Stepper: the latest development statusSuzuki, Kazuaki / Fujiwara, Tomoharu / Hada, Kazunari / Hirayanagi, Noriyuki / Kawata, Shintaro / Morita, Kenji / Okamoto, Kazuya / Okino, Teruaki / Shimizu, Sumito / Yahiro, Takehisa et al. | 2001
- 88
-
New data postprocessing for e-beam projection lithographyOkamoto, Kazuya / Kamijo, Koichi / Kojima, Shinichi / Minami, Hideyuki / Okino, Teruaki et al. | 2001
- 88
-
New data postprocessing for e-beam projection lithography [4343-11]Okamoto, K. / Kamijo, K. / Kojima, S. / Minami, H. / Okino, T. / SPIE-International Society for Optical Engineering et al. | 2001
- 95
-
Bremsstrahlung emission and absorption in electron projection lithographyHector, Scott D. / Cobb, Jonathan L. / Ivin, Vladimir / Silakov, Mikhail V. / Babushkin, George et al. | 2001
- 95
-
Bremsstrahlung emission and absorption in electron projection lithography [4343-12]Hector, S. D. / Cobb, J. L. / Ivin, V. / Silakov, M. V. / Babushkin, G. / SPIE-International Society for Optical Engineering et al. | 2001
- 107
-
Impact of positive ions and effect of lens aberrations in projection electron-beam systemsWu, Bo / Neureuther, Andrew R. et al. | 2001
- 107
-
Impact of positive ions and effect of lens aberrations in projection electron-beam systems [4343-13]Wu, B. / Neureuther, A. R. / SPIE-International Society for Optical Engineering et al. | 2001
- 115
-
Progress on the realization of the electron column modules for SCALPEL high-throughput/alpha electron projection lithography tools [4343-14]Stenkamp, D. / Kienzle, O. / Orchowski, A. / Rau, W. D. / Weickenmeier, A. / Benner, G. / Wetzke, M. / Waskiewicz, W. K. / Katsap, V. / Zhu, X. et al. | 2001
- 115
-
Progress on the realization of the electron column modules for SCALPEL high-throughput/alpha electron projection lithography toolsStenkamp, Dirk / Kienzle, O. / Orchowski, Alexander / Rau, Wigbert D. / Weickenmeier, A. / Benner, G. / Wetzke, M. / Waskiewicz, Warren K. / Katsap, Victor / Zhu, Xieqing et al. | 2001
- 126
-
CD control analysis of the SCALPEL-HT/alpha optics [4343-15]Stanton, S. T. / Waskiewicz, W. K. / Munro, E. / Rouse, J. A. / Zhu, X. / SPIE-International Society for Optical Engineering et al. | 2001
- 126
-
CD control analysis of the SCALPEL-HT/alpha opticsStanton, Stuart T. / Waskiewicz, Warren K. / Munro, Eric / Rouse, John A. / Zhu, Xieqing et al. | 2001
- 138
-
Simulations of a SCALPEL wafer-heating correction using an adaptive Kalman filterStanton, Stuart T. et al. | 2001
- 138
-
Simulations of a SCALPEL wafer-heating correction using an adaptive Kalman filter [4343-16]Stanton, S. T. / SPIE-International Society for Optical Engineering et al. | 2001
- 155
-
Overlay and critical dimension control in 100-nm ULSI processes using TaBN x-ray masks and the XRA x-ray stepperFujii, Kiyoshi / Tanaka, Yuusuke / Iwamoto, Toshiyuki / Tsuboi, Shinji / Sumitani, Hiroaki / Taguchi, Takao / Suzuki, Katsumi / Matsui, Yasuji et al. | 2001
- 155
-
Overlay and critical dimension control in 100-nm ULSI processes using TaBN x-ray masks and the XRA x-ray stepper [4343-17]Fujii, K. / Tanaka, Y. / Iwamoto, T. / Tsuboi, S. / Sumitani, H. / Taguchi, T. / Suzuki, K. / Matsui, Y. / SPIE-International Society for Optical Engineering et al. | 2001
- 164
-
Production x-ray lithography stepper for 100-nm device fabrication [4343-18]Li, X. / Miyatake, T. / Hirose, S. / Hirose, M. / Fujii, K. / Suzuki, K. / SPIE-International Society for Optical Engineering et al. | 2001
- 164
-
Production x-ray lithography stepper for 100-nm device fabricationLi, Xuan / Miyatake, Tsutomu / Hirose, Sayumi / Hirose, Masaoki / Fujii, Kiyoshi / Suzuki, Katsumi et al. | 2001
- 176
-
New results in high-energy proximity x-ray lithographyKhan, Mumit / Han, Geng / Maldonado, Juan R. / Cerrina, Franco et al. | 2001
- 176
-
New results in high-energy proximity x-ray lithography [4343-110]Khan, M. / Han, G. / Maldonado, J. R. / Cerrina, F. / SPIE-International Society for Optical Engineering et al. | 2001
- 182
-
Deep x-ray lithography with the SU-8 resist [4343-20]Singleton, L. / Bogdanov, A. L. / Peredkov, S. / Wilhelmi, O. / Schneider, A. / Cremers, C. / Megtert, S. / Schmidt, A. / SPIE-International Society for Optical Engineering et al. | 2001
- 182
-
Deep x-ray lithography with the SU-8 resistSingleton, Laurence / Bogdanov, Alexei L. / Peredkov, Serguei / Wilhelmi, Oliver / Schneider, Andreas / Cremers, Carsten / Megtert, Stephan / Schmidt, Andreas et al. | 2001
- 193
-
Characterization of a laser-produced x-ray source with a double-stream gas puff target for x-ray and EUV lithographyFiedorowicz, Henryk / Bartnik, Andrzej / Daido, Hiroyuki / Jarocki, Roman / Rakowski, Rafal / Suzuki, Masayuki / Szczurek, Miroslaw / Yamagami, Susumu et al. | 2001
- 193
-
Characterization of a laser-produced x-ray source with a double-stream gas puff target for x-ray and EUV lithography [4343-54]Fiedorowicz, H. / Bartnik, A. / Daido, H. / Jarocki, R. / Rakowski, R. / Suzuki, M. / Szczurek, M. / Yamagami, S. / SPIE-International Society for Optical Engineering et al. | 2001
- 203
-
Extreme-ultraviolet sources for lithography applications [4343-23]Banine, V. / Moors, J. / SPIE-International Society for Optical Engineering et al. | 2001
- 203
-
Extreme-ultraviolet sources for lithography applicationsBanine, Vadim / Moors, Johannes et al. | 2001
- 215
-
Comparison of different source concepts for EUVL [4343-22]Lebert, R. / Bergmann, K. / Juschkin, L. / Rosier, O. / Neff, W. / SPIE-International Society for Optical Engineering et al. | 2001
- 215
-
Comparison of different source concepts for EUVLLebert, Rainer / Bergmann, Klaus / Juschkin, Larissa / Rosier, Oliver / Neff, Willi et al. | 2001
- 226
-
Development of a high-average-power extreme-ultraviolet electric capillary discharge source [4343-24]Fornaciari, N. R. / Bender, H. / Buchenauer, D. / Kanouff, M. P. / Karim, S. / Kubiak, G. D. / Moen, C. D. / Shimkaveg, G. M. / Silfvast, W. T. / Stewart, K. D. et al. | 2001
- 226
-
Development of a high-average-power extreme-ultraviolet electric capillary discharge sourceFornaciari, Neal R. / Bender, Howard / Buchenauer, Dean / Kanouff, Michael P. / Karim, Steve / Kubiak, Glenn D. / Moen, Christopher D. / Shimkaveg, Gregory M. / Silfvast, William T. / Stewart, Kenneth D. et al. | 2001
- 232
-
Progress toward use of a dense plamsa focus as a light source for production EUV lithographyPartlo, William N. / Fomenkov, Igor V. / Ness, Richard M. / Oliver, Ian R. / Melnychuk, Stephan T. / Rauch, John E. et al. | 2001
- 232
-
Progress toward use of a dense plamsa focus as a light source for production EUV lithography [4343-25]Partlo, W. N. / Fomenkov, I. V. / Ness, R. M. / Oliver, R. I. / Melnychuk, S. T. / Rauch, J. E. / SPIE-International Society for Optical Engineering et al. | 2001
- 249
-
Laser-produced plasma (LPP) scale-up and commercialization [4343-26]Moyer, R. H. / Shields, H. / Martos, A. / Fornaca, S. W. / StPierre, R. J. / Petach, M. B. / SPIE-International Society for Optical Engineering et al. | 2001
- 249
-
Laser-produced plasma (LPP) scale-up and commercializationMoyer, Richard H. / Shields, Harry / Martos, Armando / Fornaca, Steven W. / St. Pierre, Randall J. / Petach, Michael B. et al. | 2001
- 255
-
Multiple-anion nonvolatile acetal (MANA) resists [4343-27]Guevremont, J. M. / Brainard, R. L. / Reeves, S. D. / Zhou, X. / Nguyen, T. B. / Mackevich, J. F. / Anderson, E. H. / Taylor, G. N. / SPIE-International Society for Optical Engineering et al. | 2001
- 255
-
Multiple-anion nonvolatile acetal (MANA) resistsGuevremont, Jeffrey M. / Brainard, Robert L. / Reeves, Scott D. / Zhou, Xin / Nguyen, Thinh B. / Mackevich, Joseph F. / Anderson, Erik H. / Taylor, Gary N. et al. | 2001
- 268
-
High-performance e-beam resist coupling excellent dry etch resistance and sub-100-nm resolution for advanced mask and device makingHuang, Wu-Song / Kwong, Ranee W. / Moreau, Wayne M. / Lang, Robert / Robinson, Christopher F. / Medeiros, David R. / Petrillo, Karen E. / Aviram, Ari / Mahorowala, Arpan P. / Angelopoulos, Marie et al. | 2001
- 268
-
High-performance e-beam resist coupling excellent dry etch resistance and sub-100-nm resolution for advanced mask and device making [4343-28]Huang, W.-S. / Kwong, R. W. / Moreau, W. M. / Lang, R. / Robinson, C. F. / Medeiros, D. R. / Petrillo, K. E. / Aviram, A. / Mahorowala, A. P. / Angelopoulos, M. et al. | 2001
- 278
-
Theoretical calculations of photoabsorption of polymers in the EUV (extreme ultraviolet) region [4343-29]Matsuzawa, N. N. / Irie, S. / Yano, E. / Okazaki, S. / Ishitani, A. / SPIE-International Society for Optical Engineering et al. | 2001
- 278
-
Theoretical calculations of photoabsorption of polymers in the EUV (extreme ultraviolet) regionMatsuzawa, Nobuyuki N. / Irie, Shigeo / Yano, Ei / Okazaki, Shinji / Ishitani, Akihiko et al. | 2001
- 285
-
Vacuum delay effect of CAR in mask fabricationKim, Chang-Hwan / Jeon, Chan-Uk / Han, Sung-Jae / Cho, Won-Il / Choi, Seong-Woon / Han, Woo-Sung / Sohn, Jung-Min et al. | 2001
- 285
-
Vacuum delay effect of CAR in mask fabrication [4343-30]Kim, C.-H. / Jeon, C.-U. / Han, S.-J. / Cho, W.-I. / Choi, S.-W. / Han, W.-S. / Sohn, J.-M. / SPIE-International Society for Optical Engineering et al. | 2001
- 294
-
Fabrication of patterned-surface reactivity templates using physisorption of reactive species in solvent-imprinted nanocavities [4343-31]Dressick, W. J. / Nealey, P. F. / Brandow, S. L. / SPIE-International Society for Optical Engineering et al. | 2001
- 294
-
Fabrication of patterned-surface reactivity templates using physisorption of reactive species in solvent-imprinted nanocavitiesDressick, Walter J. / Nealey, Paul F. / Brandow, Susan L. et al. | 2001
- 306
-
Tunable antireflective coatings with built-in hard mask properties facilitating thin-resist processing [4343-88]Mahorowala, A. P. / Babich, K. / Petrillo, K. E. / Simons, J. P. / Angelopoulos, M. / Patel, V. / Grill, A. / Halle, S. / Conti, R. / Wu, C.-H. J. et al. | 2001
- 306
-
Tunable antireflective coatings with built-in hard mask properties facilitating thin-resist processingMahorowala, Arpan P. / Babich, Katherina / Petrillo, Karen E. / Simons, John P. / Angelopoulos, Marie / Patel, Vishnubhai / Grill, Alfred / Halle, Scott / Conti, Richard / Wu, ChungHsi J. et al. | 2001
- 317
-
Essential reduction of stitching errors in electron-beam lithography using a multiple-exposure techniqueSteingrueber, Ralf / Engel, Herbert / Lessle, Werner et al. | 2001
- 317
-
Essential reduction of stitching errors in electron-beam lithography using a multiple-exposure technique [4343-43]Steingruber, R. / Engel, H. / Lessle, W. / SPIE-International Society for Optical Engineering et al. | 2001
- 323
-
Application of advanced 100-kV EB writer EB-X3 for 100-nm node x-ray mask fabricationWatanabe, Hiroshi / Nakayama, Yoshinori / Tsuboi, Shinji / Ezaki, Mizunori / Aoyama, Hajime / Matsui, Yasuji / Morosawa, Tetsuo / Oda, Masatoshi et al. | 2001
- 323
-
Application of advanced 100-kV EB writer EB-X3 for 100-nm node x-ray mask fabrication [4343-44]Watanabe, H. / Nakayama, Y. / Tsuboi, S. / Ezaki, M. / Aoyama, H. / Matsui, Y. / Morosawa, T. / Oda, M. / SPIE-International Society for Optical Engineering et al. | 2001
- 334
-
New registration technique using voltage-contrast images for low-energy electron-beam lithographyNakasugi, Tetsuro / Ando, Atsushi / Sugihara, Kazuyoshi / Miyoshi, Motosuke / Okumura, Katsuya et al. | 2001
- 334
-
New registration technique using voltage-contrast images for low-energy electron-beam lithography [4343-45]Nakasugi, T. / Ando, A. / Sugihara, K. / Miyoshi, M. / Okumura, K. / SPIE-International Society for Optical Engineering et al. | 2001
- 342
-
First environmental data from the EUV engineering test stand [4343-50]Klebanoff, L. E. / Malinowski, M. E. / Grunow, P. / Clift, W. M. / Steinhaus, C. / Leung, A. H. / Haney, S. J. / SPIE-International Society for Optical Engineering et al. | 2001
- 342
-
First environmental data from the EUV engineering test standKlebanoff, Leonard E. / Malinowski, Michael E. / Grunow, Philip A. / Clift, W. Miles / Steinhaus, Chip / Leung, Alvin H. / Haney, Steven J. et al. | 2001
- 347
-
Use of molecular oxygen to reduce EUV-induced carbon contamination of opticsMalinowski, Michael E. / Grunow, Philip A. / Steinhaus, Chip / Clift, W. Miles / Klebanoff, Leonard E. et al. | 2001
- 347
-
Use of molecular oxygen to reduce EUV-induced carbon contamination of optics [4343-51]Malinowski, M. F. / Grunow, P. / Steinhaus, C. / Clift, W. M. / Klebanoff, L. E. / SPIE-International Society for Optical Engineering et al. | 2001
- 357
-
Extremely fine-pitch printing with a 10x Schwarzschild optic at extreme-ultraviolet wavelengths [4343-65]Shumway, M. D. / Lee, S. H. / Cho, C. H. / Naulleau, P. / Goldberg, K. A. / Bokor, J. / SPIE-International Society for Optical Engineering et al. | 2001
- 357
-
Extremely fine-pitch printing with a 10X Schwarzschild optic at extreme-ultraviolet wavelengthsShumway, Michael D. / Lee, Sang Hun / Cho, Chang H. / Naulleau, Patrick P. / Goldberg, Kenneth A. / Bokor, Jeffrey et al. | 2001
- 363
-
Recent developments in EUV reflectometry at the Advanced Light SourceGullikson, Eric M. / Mrowka, Stanley / Kaufmann, Benjamin B. et al. | 2001
- 363
-
Recent developments in EUV reflectometry at the Advanced Light Source [4343-91]Gullikson, E. M. / Mrowka, S. / Kaufmann, B. B. / SPIE-International Society for Optical Engineering et al. | 2001
- 374
-
Evaluation and comparison of the pattern-transfer-induced image placement distortions on e-beam projection lithography masksMagg, Christopher / Lercel, Michael J. / Lawliss, Mark / Ackel, Robin / Caldwell, Neal / Kindt, Louis / Racette, Kenneth C. / Williams, Carey T. / Reu, Phillip L. et al. | 2001
- 374
-
Evaluation and comparison of the pattern transfer-induced image placement distortions on e-beam projection lithography masks [4343-38]Magg, C. / Lercel, M. J. / Lawliss, M. / Ackel, R. / Caldwell, N. / Kindt, L. / Racette, K. C. / Williams, C. / Reu, P. L. / SPIE-International Society for Optical Engineering et al. | 2001
- 383
-
Vibrational analysis of 200-mm EPL masksMikkelson, Andrew R. / Chen, Cheng-Fu / Engelstad, Roxann L. / Lovell, Edward G. et al. | 2001
- 383
-
Vibrational analysis of 200-mm EPL masks [4343-74]Mikkelson, A. R. / Chen, C. / Engelstad, R. L. / Lovell, E. G. / SPIE-International Society for Optical Engineering et al. | 2001
- 392
-
Impact of the EUV mask phase response on the asymmetry of Bossung curves as predicted by rigorous EUV mask simulationsKrautschik, Christof G. / Ito, Masaaki / Nishiyama, Iwao / Otaki, Katsura et al. | 2001
- 392
-
Impact of the EUV mask phase response on the asymmetry of Bossung curves as predicted by rigorous EUV mask simulations [4343-40]Krautschik, C. G. / Ito, M. / Nishiyama, I. / Otaki, K. / SPIE-International Society for Optical Engineering et al. | 2001
- 402
-
Infinitely selective repair buffer for EUVL reticles [4343-41]Wasson, J. R. / Smith, K. H. / Mangat, P. J. S. / Hector, S. D. / SPIE-International Society for Optical Engineering et al. | 2001
- 402
-
Infinitely selective repair buffer for EUVL reticlesWasson, James R. / Smith, Ken H. / Mangat, Pawitter J. S. / Hector, Scott D. et al. | 2001
- 409
-
TaN EUVL mask fabrication and characterization [4343-42]Yan, P.-Y. / Zhang, G. / Ma, A. / Liang, T. / SPIE-International Society for Optical Engineering et al. | 2001
- 409
-
TaN EUVL mask fabrication and characterizationYan, Pei-yang / Zhang, Guojing / Ma, Andy / Liang, Ted et al. | 2001
- 415
-
Advances in graft polymerization lithography [4343-32]Brodsky, C. J. / Trinque, B. C. / Johnson, H. F. / Willson, C. G. / SPIE-International Society for Optical Engineering et al. | 2001
- 415
-
Advances in graft polymerization lithographyBrodsky, Colin J. / Trinque, Brian C. / Johnson, Heather F. / Willson, C. Grant et al. | 2001
- 427
-
Nanoimprint lithography with a commercial 4-in. bond system for hot embossingRoos, Nils / Luxbacher, Thomas / Glinsner, Thomas / Pfeiffer, Karl / Schulz, Hubert / Scheer, Hella-Christin et al. | 2001
- 427
-
Nanoimprint lithography with a commercial 4-in. bond system for hot embossing [4343-33]Roos, N. / Luxbacher, T. / Glinsner, T. / Pfeiffer, K. / Schulz, H. / Scheer, H.-C. / SPIE-International Society for Optical Engineering et al. | 2001
- 436
-
Layer-to-layer alignment for step and flash imprint lithographyChoi, Byung J. / Meissl, Mario J. / Colburn, Matthew / Bailey, Todd C. / Ruchhoeft, Paul / Sreenivasan, S. V. / Prins, F. / Banerjee, Sanjay K. / Ekerdt, John G. / Willson, C. Grant et al. | 2001
- 436
-
Layer-to-layer alignment for step and flash imprint lithography [4343-34]Choi, B. J. / Meissl, M. J. / Colburn, M. / Bailey, T. C. / Ruchhoeft, P. / Sreenivasan, S. V. / Prins, F. / Banerjee, S. K. / Ekerdt, J. G. / Willson, C. G. et al. | 2001
- 443
-
High-resolution proximity printing by wave-optically designed masksNellissen, Ton J. / Wang, Lingli / Dirkzwager, Maarten / Wyrowski, Frank / Kley, Ernst-Bernhard / Aagendahl, Harald / Buehling, Sven et al. | 2001
- 443
-
High-resolution proximity printing by wave-optically designed masks [4343-37]Nellissen, T. J. / Wang, L. / Dirkzwager, M. / Wyrowski, F. / Kley, E.-B. / Aagendahl, H. / Buehling, S. / SPIE-International Society for Optical Engineering et al. | 2001
- 453
-
Ion projection lithography: advances with integrated tool and resist processes [4343-47]Wolter, A. / Kasmaier, R. / Loschner, H. / SPIE-International Society for Optical Engineering et al. | 2001
- 453
-
Ion projection lithography: advances with integrated tool and resist processesWolter, Andreas / Kaesmaier, Rainer / Loeschner, Hans et al. | 2001
- 460
-
Progress in placement control for ion beam stencil mask technologyKamm, Frank-Michael / Ehrmann, Albrecht / Struck, Thomas / Kragler, Karl / Butschke, Joerg / Letzkus, Florian / Springer, Reinhard / Haugeneder, Ernst et al. | 2001
- 460
-
Progress in placement control for ion beam stencil mask technology [4343-48]Kamm, F.-M. / Ehrmann, A. / Struck, T. / Kragler, K. / Bustschke, J. / Letzkus, F. / Springer, R. / Haugeneder, E. / SPIE-International Society for Optical Engineering et al. | 2001
- 466
-
Micromachining using a focused MeV proton beam for the production of high-precision 3D microstructures with vertical sidewalls of high orthogonality [4343-49]van Kan, J. A. / Bettiol, A. A. / Ansari, K. / Watt, F. / SPIE-International Society for Optical Engineering et al. | 2001
- 466
-
Micromachining using a focused MeV proton beam for the production of high-precision 3D microstructures with vertical sidewalls of high orthogonalityvan Kan, Jeroen A. / Bettiol, Andrew A. / Ansari, K. / Watt, Frank et al. | 2001
- 473
-
Development of data conversion system for electron-beam projection lithography (EPL) maskYamada, Yasuhisa / Kobinata, Hideo / Tamura, Takao / Miyasaka, Mami / Sakamoto, Tatsuya / Ogawa, Yuzo / Takada, Kenichi / Yamashita, Hiroshi / Nozue, Hiroshi et al. | 2001
- 473
-
Development of data conversion system for electron-beam projection lithography (EPL) mask [4343-52]Yamada, Y. / Kobinata, H. / Tamura, T. / Miyasaka, M. / Sakamoto, T. / Ogawa, Y. / Takada, K. / Yamashita, H. / Nozue, H. / SPIE-International Society for Optical Engineering et al. | 2001
- 483
-
High-accuracy aerial image measurement for electron-beam projection lithography [4343-53]Yahiro, T. / Hirayanagi, N. / Morita, K. / Irita, T. / Yamamoto, H. / Suzuki, S. / Shimizu, H. / Kawata, S. / Okino, T. / Suzuki, K. et al. | 2001
- 483
-
High-accuracy aerial image measurement for electron-beam projection lithographyYahiro, Takehisa / Hirayanagi, Noriyuki / Morita, Kenji / Irita, Takeshi / Yamamoto, Hajime / Suzuki, Shohei / Shimizu, Hiroyasu / Kawata, Shintaro / Okino, Teruaki / Suzuki, Kazuaki et al. | 2001
- 491
-
Vacuum spark point source for x-ray/EUV lithographyGuo, Xioming / Xu, Meisheng / Ye, Rubin / Huang, Chaofeng / Wirpszo, Kazimierz W. / Panarella, Emilio et al. | 2001
- 491
-
Vacuum spark point source for x-ray/EUV lithography [4343-21]Guo, X. / Xu, M. / Ye, R. / Huang, C. / Wirpszo, K. W. / Panarella, E. / SPIE-International Society for Optical Engineering et al. | 2001
- 497
-
Thick silicon membranes as mask blank for SU-8 x-ray deep lithographyMaia, Izaque A. / Ferreira, Luis O. S. / Piazzetta, Maria Helena O. / Natal, Graziele C. et al. | 2001
- 497
-
Thick silicon membranes as mask blank for SU-8 x-ray deep lithography [4343-55]Maia, I. A. / Ferreira, L. O. S. / Piazzetta, M. H. O. / Natal, G. C. / SPIE-International Society for Optical Engineering et al. | 2001
- 507
-
EUV absorption in a laser-produced plasma sourceKanouff, Michael P. / Shields, Harry / Bernardez, Luis J. / Kubiak, Glenn D. et al. | 2001
- 507
-
EUV absorption in a laser-produced plasma source [4343-56]Kanouff, M. P. / Shields, H. / Bernardez, L. J. / Kubiak, G. D. / SPIE-International Society for Optical Engineering et al. | 2001
- 515
-
Thermomechanical modeling of the EUV reticle during exposureMartin, Carl J. / Engelstad, Roxann L. / Lovell, Edward G. et al. | 2001
- 515
-
Thermomechanical modeling of the EUV reticle during exposure [4343-57]Martin, C. J. / Engelstad, R. L. / Lovell, E. G. / SPIE-International Society for Optical Engineering et al. | 2001
- 524
-
Quantifying EUV imaging tolerances for the 70-, 50-, 35-nm modes through rigorous aerial image simulationsKrautschik, Christof G. / Ito, Masaaki / Nishiyama, Iwao / Mori, Takashi et al. | 2001
- 524
-
Quantifying EUV imaging tolerances for the 70-, 50-, 35-nm modes through rigorous aerial image simulations [4343-59]Krautschik, C. G. / Ito, M. / Nishiyama, I. / Mori, T. / SPIE-International Society for Optical Engineering et al. | 2001
- 535
-
Scaling-up a liquid water jet laser plasma source to high average power for extreme-ultraviolet lithography [4343-60]Vogt, U. / Stiel, H. / Will, I. / Wieland, M. / Wilhein, T. / Nickles, P. V. / Sandner, W. / SPIE-International Society for Optical Engineering et al. | 2001
- 535
-
Scaling-up a liquid water jet laser plasma source to high average power for extreme-ultraviolet lithographyVogt, Ulrich / Stiel, Holger / Will, Ingo / Wieland, Marek / Wilhein, Thomas / Nickles, Peter V. / Sandner, Wolfgang et al. | 2001
- 543
-
Advanced point diffraction interferometer for EUV aspherical mirrors [4343-61]Ota, K. / Yamamoto, T. / Fukuda, Y. / Otaki, K. / Nishiyama, I. / Okazaki, S. / SPIE-International Society for Optical Engineering et al. | 2001
- 543
-
Advanced point diffraction interferometer for EUV aspherical mirrorsOta, Kazuya / Yamamoto, Takahiro / Fukuda, Yusuke / Otaki, Katsura / Nishiyama, Iwao / Okazaki, Shinji et al. | 2001
- 551
-
Models for characterizing the printability of buried EUV defects [4343-62]Deng, Y. / Pistor, T. V. / Neureuther, A. R. / SPIE-International Society for Optical Engineering et al. | 2001
- 551
-
Models for characterizing the printability of buried EUV defectsDeng, Yunfei / Pistor, Thomas V. / Neureuther, Andrew R. et al. | 2001
- 559
-
Laser-induced EUV source for optics characterizationKranzusch, Sebastian / Mann, Klaus R. et al. | 2001
- 559
-
Laser-induced EUV source for optics characterization [4343-63]Kranzusch, S. / Mann, K. R. / SPIE-International Society for Optical Engineering et al. | 2001
- 566
-
Spectroscopic and energetic investigation of capillary discharges devoted to EUV production for new lithography generation [4343-64]Robert, E. / Blagojevic, B. / Dussart, R. / Mohanty, S. R. / Idrissi, M. M. / Hong, D. / Viladrosa, R. / Pouvesle, J.-M. / Fleurier, C. / Cachoncinlle, C. et al. | 2001
- 566
-
Spectroscopic and energetic investigation of capillary discharges devoted to EUV production for new lithography generationRobert, Eric / Blagojevic, Branimir M. / Dussart, Remi / Mohanty, Smruti R. / Idrissi, Moulay M. / Hong, Dunpin / Viladrosa, Raymond / Pouvesle, Jean-Michel / Fleurier, Claude / Cachoncinlle, Christophe et al. | 2001
- 576
-
Fabrication of a fly-eye mirror for an extreme-ultraviolet lithography illumination system [4343-76]Takino, H. / Kobayashi, T. / Shibata, N. / Kuki, M. / Itoh, A. / Komatsuda, H. / SPIE-International Society for Optical Engineering et al. | 2001
- 576
-
Fabrication of a fly-eye mirror for an extreme-ultraviolet lithography illumination systemTakino, Hideo / Kobayashi, Teruki / Shibata, Norio / Kuki, Masaaki / Itoh, Akinori / Komatsuda, Hideki et al. | 2001
- 585
-
Filter windows for EUV lithography [4343-87]Powell, F. R. / Johnson, T. A. / SPIE-International Society for Optical Engineering et al. | 2001
- 585
-
Filter windows for EUV lithographyPowell, Forbes R. / Johnson, Terry A. et al. | 2001
- 590
-
In-situ stress measurement of molybdenum/silicon multilayers and low-stress multilayers for extreme-ultraviolet lithography [4343-93]Shiraishi, M. / Ishiyama, W. / Kandaka, N. / Oshino, T. / Murakami, K. / SPIE-International Society for Optical Engineering et al. | 2001
- 590
-
In-situ stress measurement of molybdenum/silicon multilayers and low-stress multilayers for extreme-ultraviolet lithographyShiraishi, Masayuki / Ishiyama, Wakana / Kandaka, Noriaki / Oshino, Tetsuya / Murakami, Katsuhiko et al. | 2001
- 599
-
Development of an EUV reflectometer using a single line emission from a laser-plasma x-ray source [4343-94]Kandaka, N. / Kondo, H. / Sugisaki, K. / Oshino, T. / Shiraishi, M. / Ishiyama, W. / Murakami, K. / SPIE-International Society for Optical Engineering et al. | 2001
- 599
-
Development of an EUV reflectometer using a single line emission from a laser-plasma x-ray sourceKandaka, Noriaki / Kondo, Hiroyuki / Sugisaki, Katsumi / Oshino, Tetsuya / Shiraishi, Masayuki / Ishiyama, Wakana / Murakami, Katsuhiko et al. | 2001
- 607
-
Damage-resistant and low-stress EUV multilayer mirrors [4343-95]Yulin, S. A. / Kuhlmann, T. / Feigl, T. / Kaiser, N. / SPIE-International Society for Optical Engineering et al. | 2001
- 607
-
Damage-resistant and low stress EUV multilayer mirrorsYulin, Sergey A. / Kuhlmann, Thomas / Feigl, Torsten / Kaiser, Norbert et al. | 2001
- 615
-
Compact Z-pinch EUV source for photolithographySchriever, Guido / Rahe, Manfred / Stamm, Uwe / Basting, Dirk / Khristoforov, Oleg B. / Vinokhodov, Aleksandr Y. / Borisov, Vladimir M. et al. | 2001
- 615
-
Compact Z-pinch EUV source for photolithography [4343-96]Schriever, G. / Rahe, M. / Stamm, U. / Basting, D. / Khristoforov, O. B. / Vinokhodov, A. / Borisov, V. M. / SPIE-International Society for Optical Engineering et al. | 2001
- 621
-
Reflecting-surface distortion when mirrors are cut to shapeMeda, Gautam et al. | 2001
- 621
-
Reflecting-surface distortion when mirrors are cut to shape [4343-97]Meda, G. / SPIE-International Society for Optical Engineering et al. | 2001
- 627
-
Automated set-up for extreme-ultraviolet mask lithography: the first step to count and clean in one [4343-98]Hue, J. / Muffato, V. / Pelle, C. / Quesnel, E. / Garrec, P. / Baume, F. / SPIE-International Society for Optical Engineering et al. | 2001
- 627
-
Automated set-up for extreme-ultraviolet mask lithography: the first step to count and clean in oneHue, Jean / Muffato, Viviane / Pelle, Catherine / Quesnel, Etienne / Garrec, Pierre / Baume, Francoise et al. | 2001
- 639
-
Adding static printing capabilities to the EUV phase-shifting point diffraction interferometerNaulleau, Patrick P. / Goldberg, Kenneth A. / Anderson, Erik H. / Batson, Phillip J. / Denham, Paul / Jackson, Keith H. / Rekawa, Senajith / Bokor, Jeffrey et al. | 2001
- 639
-
Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer [4343-100]Naulleau, P. / Goldberg, K. A. / Anderson, E. H. / Batson, P. J. / Denham, P. / Jackson, K. H. / Rekawa, S. / Bokor, J. / SPIE-International Society for Optical Engineering et al. | 2001
- 646
-
Flatness correction of NZTE mask blank substratesAschke, Lutz / Schubert, Fredi / Kegeler, Joerg / Schindler, Axel / Haensel, Thomas / Knapp, Konrad et al. | 2001
- 646
-
Flatness correction of NZTE mask blank substrates [4343-102]Aschke, L. / Schubert, F. / Kegeler, J. / Schindler, A. / Haensel, T. / Knapp, K. / SPIE-International Society for Optical Engineering et al. | 2001
- 654
-
Combined metrology including VUV spectroscopic ellipsometry and grazing x-ray reflectance for precise characterization of thin films and multilayers at 157 nmBoher, Pierre / Evrard, Patrick / Piel, Jean-Philippe / Janicot, Sylvie / Stehle, Jean-Louis P. et al. | 2001
- 654
-
Combined metrology including VUV spectroscopic ellipsometry and grazing x-ray reflectance for precise characterization of thin films and multilayers at 157 nm [4343-106]Boher, P. / Evrard, P. / Piel, J.-P. / Janicot, S. / Stehle, J.-L. / SPIE-International Society for Optical Engineering et al. | 2001
- 665
-
Luminescent materials for EUV detection purposesKroon, Mark / Stuik, R. et al. | 2001
- 665
-
Luminescent materials for EUV detection purposes [4343-108]Kroon, M. / Stuik, R. / SPIE-International Society for Optical Engineering et al. | 2001
- 676
-
Equivalent multilayer bandwidth and comparison between 13.4 nm and 14.4 nm for EUV throughput calculation [4343-109]Chao, W. / Gullikson, E. M. / Attwood, D. T. / SPIE-International Society for Optical Engineering et al. | 2001
- 676
-
Equivalent multilayer bandwidth and comparison between 13.4 nm and 14.4 nm for EUV throughput calculationChao, Weilun / Gullikson, Eric M. / Attwood, David T. et al. | 2001
- 684
-
Improvement of beam-adjustment accuracy by beam-intensity distribution measurement on a second shaping aperture in electron-beam writing systems [4343-66]Nishimura, S. / Ogasawara, M. / Tojo, T. / SPIE-International Society for Optical Engineering et al. | 2001
- 684
-
Improvement of beam-adjustment accuracy by beam-intensity distribution measurement on a second shaping aperture in electron-beam writing systemsNishimura, Shinsuke / Ogasawara, Munehiro / Tojo, Toru et al. | 2001
- 692
-
High-resolution and high-stability electromagnetic-deflection control system for EB lithography systemNagata, Koji / Okumura, Masahide / Maio, Kenji / Fujii, Akira / Andoh, Hisashi / Morimura, Toshiyuki / Hayakawa, Hajime et al. | 2001
- 692
-
High-resolution and high-stability electromagnetic-deflection control system for EB lithography system [4343-67]Nagata, K. / Okumura, M. / Maio, K. / Fujii, A. / Andoh, H. / Morimura, T. / Hayakawa, H. / SPIE-International Society for Optical Engineering et al. | 2001
- 704
-
Stitching accuracy measurement system for EB direct writing and electron-beam projection lithography (EPL) [4343-68]Tamura, T. / Ema, T. / Nozue, H. / Sugahara, T. / Sugano, A. / Nitta, J. / SPIE-International Society for Optical Engineering et al. | 2001
- 704
-
Stitching accuracy measurement system for EB direct writing and electron-beam projection lithography (EPL)Tamura, Takao / Ema, Takahiro / Nozue, Hiroshi / Sugahara, Tamoya / Sugano, Akio / Nitta, Jun et al. | 2001
- 715
-
Shot number analysis on character projection e-beam lithography for random logic device fabrication at 70-nm nodeTomo, Yoichi / Shimizu, Isao / Kojima, Yoshinori / Yoshida, Akira / Takenaka, Hiroshi / Yamabe, Masaki et al. | 2001
- 715
-
Shot number analysis on character projection e-beam lithography for random logic device fabrication at 70-nm node [4343-69]Tomo, Y. / Shimizu, I. / Kojima, Y. / Yoshida, A. / Takenaka, H. / Yamabe, M. / SPIE-International Society for Optical Engineering et al. | 2001
- 727
-
Highly accurate CD control at stitching region for electron-beam projection lithography [4343-71]Fujiwara, T. / Irita, T. / Shimizu, S. / Yamamoto, H. / Suzuki, K. / SPIE-International Society for Optical Engineering et al. | 2001
- 727
-
Highly accurate CD control at stitching region for electron-beam projection lithographyFujiwara, Tomoharu / Irita, Takeshi / Shimizu, Sumito / Yamamoto, Hajime / Suzuki, Kazuaki et al. | 2001
- 736
-
Performance of the improved JBX-9000MV e-beam lithography systemKomagata, Tadashi / Nakagawa, Yasutoshi / Gotoh, Nobuo / Tanaka, Kazumitsu et al. | 2001
- 736
-
Performance of the improved JBX-9000MV e-beam lithography system [4343-72]Komagata, T. / Nakagawa, Y. / Gotoh, N. / Tanaka, K. / SPIE-International Society for Optical Engineering et al. | 2001
- 746
-
Characteristics of the Ru buffer layer for EUVL mask patterning [4343-75]Lee, B. T. / Hoshino, E. / Takahashi, M. / Yoneda, T. / Yamanashi, H. / Hoko, H. / Chiba, A. / Ito, M. / Ryoo, M. H. / Ogawa, T. et al. | 2001
- 746
-
Characteristics of the Ru buffer layer for EUVL mask patterningLee, Byoung T. / Hoshino, Eiichi / Takahashi, Masashi / Yoneda, Takashi / Yamanashi, Hiromasa / Hoko, Hiromasa / Chiba, Akira / Ito, Masaaki / Ryoo, Manhyoung / Ogawa, Taro et al. | 2001
- 754
-
Substrate defect smoothing of EUVL mask blanks using TaSiN films [4343-77]Wasson, J. R. / Hopson, T. / Mangat, P. J. S. / Hector, S. D. / SPIE-International Society for Optical Engineering et al. | 2001
- 754
-
Substrate defect smoothing of EUVL mask blanks using TaSiN filmsWasson, James R. / Hopson, Theresa / Mangat, Pawitter J. S. / Hector, Scott D. et al. | 2001
- 760
-
Tantalum nitride films for the absorber material of refractive-type EUVL mask [4343-78]Takahashi, M. / Ogawa, T. / Hoshino, E. / Hoko, H. / Lee, B. T. / Chiba, A. / Yamanashi, H. / Okazaki, S. / SPIE-International Society for Optical Engineering et al. | 2001
- 760
-
Tantalum nitride films for the absorber material of refractive-type EUVL maskTakahashi, Masashi / Ogawa, Taro / Hoshino, Eiichi / Hoko, Hiromasa / Lee, Byoung T. / Chiba, Akira / Yamanashi, Hiromasa / Okazaki, Shinji et al. | 2001
- 771
-
Evaluation of Shipley XP2040D positive chemically amplified resist for SCALPEL mask fabrication [4343-79]Lu, B. / Masnyj, Z. S. / Mangat, P. J. S. / Nordquist, K. J. / Ainley, E. / Resnick, D. J. / SPIE-International Society for Optical Engineering et al. | 2001
- 771
-
Evaluation of Shipley XP2040D positive chemically amplified resist for SCALPEL mask fabricationLu, Bing / Masnyj, Zorian S. / Mangat, Pawitter J. S. / Nordquist, Kevin J. / Ainley, Eric S. / Resnick, Douglas J. et al. | 2001
- 781
-
Chemically amplified deep UV resists for electron-beam lithography applications [4343-80]Chen, H. L. / Hsu, C. K. / Chen, B. C. / Ko, F. H. / Yang, J. Y. / Huang, T. Y. / Chu, T. C. / SPIE-International Society for Optical Engineering et al. | 2001
- 781
-
Chemically amplified deep UV resists for electron-beam lithography applicationsChen, Hsuen-Li / Hsu, Chien-Kui / Chen, Ben-Chang / Ko, Fu-Hsiang / Yang, Jung-Yen / Huang, Tiao-Yuan / Chu, Tien-Chi et al. | 2001
- 789
-
Optimal lithium targets for laser-plasma lithographyAndreev, Alexander A. / Ueda, T. / Limpouch, Jiri et al. | 2001
- 789
-
Optimal lithium targets for laser-plasma lithography [4343-82]Andreev, A. A. / Ueda, T. / Limpouch, J. / SPIE-International Society for Optical Engineering et al. | 2001
- 797
-
Optical lithography at a 126-nm wavelengthKang, Hoyoung / Bourov, Anatoly / Smith, Bruce W. et al. | 2001
- 797
-
Optical lithography at a 126-nm wavelength [4343-84]Kang, H. / Bourov, A. / Smith, B. W. / SPIE-International Society for Optical Engineering et al. | 2001
- 802
-
Mix and match of nanoimprint and UV lithographyReuther, Freimut / Pfeiffer, Karl / Fink, Marion / Gruetzner, Gabi / Schulz, Hubert / Scheer, Hella-Christin / Gaboriau, Freddy / Cardinaud, Christophe et al. | 2001
- 802
-
Mix and match of nanoimprint and UV lithography [4343-85]Reuther, F. / Pfeiffer, K. / Fink, M. / Gruetzner, G. / Schulz, H. / Scheer, H.-C. / Gaboriau, F. / Cardinaud, C. / SPIE-International Society for Optical Engineering et al. | 2001