Real-time on-line monitoring of process water for low concentrations of bacteria (Englisch)
- Neue Suche nach: Adams, John A.
- Neue Suche nach: McCarty, David
- Neue Suche nach: Crousore, Kristina
- Neue Suche nach: Adams, John A.
- Neue Suche nach: McCarty, David
- Neue Suche nach: Crousore, Kristina
In:
Proc. SPIE
;
6152
; 61523M
;
2006
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Real-time on-line monitoring of process water for low concentrations of bacteria
-
Beteiligte:
-
Kongress:Metrology, Inspection, and Process Control for Microlithography XX ; 2006 ; San Jose,California,United States
-
Erschienen in:Proc. SPIE ; 6152 ; 61523M
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:10.03.2006
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 61520A
-
A systematic study of missing via mechanism and its solutionsWang, Lei / Huang, Wei / Wu, Qiang et al. | 2006
- 61520B
-
Advanced DFM applications using design-based metrology on CD SEMLorusso, G. F. / Capodieci, L. / Stoler, D. / Schulz, B. / Roling, S. / Schramm, J. / Tabery, C. / Shah, K. / Singh, B. / Abbott, G. et al. | 2006
- 61520C
-
Litho-metrology challenges for the 45-nm technology node and beyondAllgair, John A. / Bunday, Benjamin D. / Bishop, Mike / Lipscomb, Pete / Orji, Ndubuisi G. et al. | 2006
- 61520D
-
In-chip optical CD measurements for non-volatile memory devicesVasconi, Mauro / Kremer, Stephanie / Polli, M. / Severgnini, Ermes / Trovati, Silvia S. et al. | 2006
- 61520E
-
Benchmark comparison of multiple process control strategies for lithographic CD controlKang, Wei / Mao, John et al. | 2006
- 61520F
-
Integrated scatterometry in high-volume manufacturing for polysilicon gate etch controlSendelbach, Matthew / Munoz, Andres / Bandy, Kenneth A. / Prager, Dan / Funk, Merritt et al. | 2006
- 61520G
-
Improved scatterometry method of critical dimension measurements and its application for control of development processPundaleva, Irina / Nam, Dongseok / Han, Hakseung / Lee, Donggun / Han, Woosung et al. | 2006
- 61520H
-
Application of optical CD metrology based on both spectroscopic ellipsometry and scatterometry for Si-recess monitorHuang, Peter C. Y. / Chen, Ryan C. J. / Chen, Fang-Cheng / Perng, Baw-Ching / Shieh, Jyu-Horng / Jang, S. M. / Liang, M. S. et al. | 2006
- 61520I
-
Simulations of optical microscope imagesGermer, Thomas A. / Marx, Egon et al. | 2006
- 61520J
-
Scatterfield microscopy using back focal plane imaging with an engineered illumination fieldPatrick, Heather J. / Attota, Ravikiran / Barnes, Bryan M. / Germer, Thomas A. / Stocker, Michael T. / Silver, Richard M. / Bishop, Michael R. et al. | 2006
- 61520K
-
Optical critical dimension measurement and illumination analysis using the through-focus focus metricAttota, Ravikiran / Silver, Richard M. / Bishop, Michael R. / Dixson, Ronald G. et al. | 2006
- 61520L
-
Automatic CD-SEM offline recipe creation for OPC qualification and process monitoring in a DRAM pilot-fab environmentKramer, Uwe / Marschner, Thomas / Kaiser, Dieter / Winking, Marc / Stief, Christian / Ventola, Stefano / Lewitzki, Dan / Abraham, Zamir / Menadeva, Ovadya / Shukrun, Sam et al. | 2006
- 61520M
-
Virtual measurements and simulation of interference microscopesKoerfer, Friedel / Scheermesser, Sandra et al. | 2006
- 61520N
-
Characterization of line edge roughness using CD SAXSJones, Ronald L. / Wu, Wen-li / Wang, Cheng-qing / Lin, Eric K. / Choi, Kwang-Woo / Rice, Bryan J. / Thompson, George M. / Weigand, Steven J. / Keane, Denis T. et al. | 2006
- 61520O
-
Progress on implementation of a CD-AFM-based reference measurement systemOrji, Ndubuisi G. / Martinez, Angela / Dixson, Ronald G. / Allgair, John et al. | 2006
- 61520P
-
Traceable atomic force microscope dimensional metrology at NISTDixson, Ronald / Orji, Ndubuisi G. / Fu, Joseph / Cresswell, Michael / Allen, Rich / Guthrie, Will et al. | 2006
- 61520Q
-
Accurate in-line CD metrology for nanometer semiconductor manufacturingPerng, Baw-Ching / Shieh, Jyu-Horng / Jang, S.-M. / Liang, M.-S. / Huang, Renee / Chen, Li-Chien / Hwang, Ruey-Lian / Hsu, Joe / Fong, David et al. | 2006
- 61520R
-
Carbon nanotube probes for three-dimensional critical-dimension metrologyPark, B. C. / Ahn, S. J. / Choi, J. / Jung, K. Y. / Song, W. Y. et al. | 2006
- 61520S
-
Small feature accuracy challenge for CD-SEM metrology physical model solutionBunday, Benjamin / Allgair, John / Adan, Ofer / Tam, Aviram / Latinski, Sergey / Eytan, Guy et al. | 2006
- 61520T
-
Tools to measure CD-SEM performanceKim, Jihoon / Jalhadi, Kiran / Deo, Sachin / Lee, Soo-Young / Joy, David et al. | 2006
- 61520U
-
Metrology tool fleet management: a comprehensive discussion of requirements and solutionsSolecky, Eric et al. | 2006
- 61520V
-
CD-AFM versus CD-SEM for resist LER and LWR measurementsFoucher, J. / Fabre, A. L. / Gautier, P. et al. | 2006
- 61520W
-
Impact of line width roughness on device performanceLorusso, G. F. / Leunissen, L. H. A. / Gustin, C. / Mercha, A. / Jurczak, M. / Marchman, H. M. / Azordegan, A. et al. | 2006
- 61520X
-
Macro analysis of line edge and line width roughnessShin, Jangho / Yoon, Jinyoung / Jung, Youngjae / Lee, SukJoo / Woo, Sang-Gyun / Cho, Han-Ku / Moon, Joo-Tae et al. | 2006
- 61520Y
-
Characterization of across-device linewidth variation (ADLV) for 65-nm logic SRAM using CDSEM and linewidth roughness algorithmsChu, W. / Radens, C. / Dirahoui, B. / Grauer, I. / Samuels, D. / Credendino, S. / Nomura, A. / Cornell, R. et al. | 2006
- 61520Z
-
The limits of image-based optical metrologySilver, R. M. / Barnes, B. M. / Attota, R. / Jun, J. / Filliben, J. / Soto, J. / Stocker, M. / Lipscomb, P. / Marx, E. / Patrick, H. J. et al. | 2006
- 61520Z
-
The limits of image-based optical metrology (Invited Paper) [6152-35]Silver, R. M. / Barnes, B. M. / Attota, R. / Jun, J. / Filliben, J. / Soto, J. / Stocker, M. / Lipscomb, P. / Marx, E. / Patrick, H. J. et al. | 2006
- 61521A
-
Minimizing CD measurement bias through realtime acquisition of 3D feature shapesFoucher, Johann / Gorelikov, Dmitry / Poulingue, Marc / Fabre, Pascal / Sundaram, Ganesh et al. | 2006
- 61521B
-
Automated CD-SEM recipe creation: a new paradigm in CD-SEM utilizationBunday, Benjamin / Lipscomb, William / Allgair, John / Yang, Kyoungmo / Koshihara, Shunsuke / Morokuma, Hidetoshi / Page, Lorena / Danilevsky, Alex et al. | 2006
- 61521D
-
Estimation of pattern shape based on CD-SEM image by using MPPC methodOnozuka, T. / Ojima, Y. / Meessen, J. / Rijpers, B. et al. | 2006
- 61521E
-
Enabling DFM and APC strategies with advanced process metricsMonahan, Kevin / Whitney, Umar et al. | 2006
- 61521F
-
Evaluation of OPC quality using automated edge placement error measurement with CD-SEMTabery, Cyrus / Morokuma, Hidetoshi / Sugiyama, Akiyuki / Page, Lorena et al. | 2006
- 61521G
-
A comprehensive test of optical scatterometry readiness for 65-nm technology productionUkraintsev, Vladimir A. et al. | 2006
- 61521H
-
Decorrelation of fitting parameters by Mueller polarimetry in conical diffractionDe Martino, Antonello / Novikova, Tatiana / Arnold, Christophe / BenHatit, Sami / Drévillon, Bernard et al. | 2006
- 61521I
-
Dome scatterometry for the measurement of advanced geometry semiconductor devicesRaymond, Christopher J. / Littau, Mike / Forman, Darren / Hummel, Steven G. et al. | 2006
- 61521J
-
Influence of semiconductor manufacturing process variation on device parameter measurement for angular scatterometryWang, Shih-Chun / Ku, Yi-Sha / Shyu, Deh-Ming / Ko, Chun-Hung / Smith, Nigel et al. | 2006
- 61521K
-
Development of an automated multiple-target mask CD disposition system to enable new sampling strategyMa, Jian / Farnsworth, Jeff / Bassist, Larry / Cui, Ying / Mammen, Bobby / Padmanaban, Ramaswamy / Nadamuni, Venkatesh / Kamath, Muralidhar / Buckmann, Ken / Neff, Julie et al. | 2006
- 61521L
-
Phase calibration for attenuating phase-shift masksHibbs, Michael S. / Brunner, Timothy A. et al. | 2006
- 61521M
-
Investigation on polarization monitoring mask: pattern design and experimental verificationHwang, Chan / Park, Dong-Woon / Shin, Jang-Ho / Nam, Dong-Seok / Lee, Suk-Joo / Woo, Sang-Gyun / Cho, Han-Ku / Moon, Joo-Tae et al. | 2006
- 61521N
-
Advanced x-ray mask inspection system (AXIS) using scanning electron microscopy for sub-70-nm die-to-database inspectionsBoerger, Brent E. / Yu, Mengchen / Selzer, Robert A. / Ma, Yungsheng / Ronning, Donald / Ducharme, Donald / Grenon, Brian J. / Trybendis, Michael J. et al. | 2006
- 61521O
-
Line edge roughness on photo lithographic masksHeins, Torben / Dersch, Uwe / Liebe, Roman / Richter, Jan et al. | 2006
- 61521P
-
CD-etch depth measurement from advanced phase-shift masks and wafers using optical scatterometryLee, Kyung M. / Yedur, Sanjay / Henrichs, Sven / Tavassoli, Malahat et al. | 2006
- 61521Q
-
Polarization control for enhanced defect detection on advanced memory devicesLee, Byoung-Ho / Ihm, Dong-Chul / Yeo, Jeong-Ho / Gluk, Yael / Meshulach, Doron et al. | 2006
- 61521R
-
Product and tool control using integrated auto-macro defect inspection in the photolithography clusterMenon, Vinayan C. / Isaacson, Robert L. / Nicholls, Matthew C. / Lickteig, Stephen J. / Forstner, Thomas / Barnett, Anthony R. / Mulhall, James et al. | 2006
- 61521S
-
Evaluation of damage induced by electron beam irradiation to MOS gate pattern and method for damage-free inspectionMatsui, Miyako / Machida, Syuntaro / Mine, Toshiyuki / Hozawa, Kazuyuki / Watanabe, Kikuo / Goto, Yasushi / Inoue, Jiro / Nagaishi, Hiroshi et al. | 2006
- 61521T
-
In-line semi-electrical process diagnosis methodology for integrated process window optimization of 65nm and below technology nodesLei, Ming-Ta / Tang, Kok-Hiang / Wang, Yung-Chih / Huang, Chia-Hsing / Jeng, Chih-Cherng / Wang, Lu-Kai / Fang, Wei / Zhao, Yan / Jau, Jack / Hsia, Chin C. et al. | 2006
- 61521U
-
The use of unpatterned wafer inspection for immersion lithography defectivity studiesHolsteyns, Frank / Cheung, Lisa / Van Den Heuvel, Dieter / Marcuccilli, Gino / Simpson, Gavin / Brun, Roland / Steinbach, Andy / Fyen, Wim / Vangoidsenhoven, Diziana / Mertens, Paul et al. | 2006
- 61521V
-
In-line CD metrology with combined use of scatterometry and CD-SEMAsano, Masafumi / Ikeda, Takahiro / Koike, Toru / Abe, Hideaki et al. | 2006
- 61521W
-
Scatterometry measurements of line end shortening structures for focus-exposure monitoringHung, Kelvin / Cheng, Yung Feng / Sun, Jie Wei / Lin, Benjamin Szu-Min / Fu, Steven / Dziura, Thaddeus G. / Cusacovich, Marcelo / Mieher, Walter D. et al. | 2006
- 61521X
-
Back end of line metrology control applications using scatterometryTowidjaja, Linda / Raymond, Christopher / Littau, Mike / Forman, Darren / Hummel, Steven G. et al. | 2006
- 61521Y
-
Compensating measured intra-wafer ring oscillator stage delay with intra-wafer exposure dose correctionsVerhaegen, Staf / Nackaerts, Axel / Dusa, Mircea / Carpaij, Rene / Vandenberghe, Geert / Finders, Jo et al. | 2006
- 61521Z
-
Characterization of critical dimension uniformity through in-situ detection of aerial images in a scanner [6152-72]Hunsche, S. / Gassner, M. J. / Cao, Y. / Chang, H. / Chen, J.-H. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 61521Z
-
Characterization of critical dimension uniformity through in-situ detection of aerial images in a scannerHunsche, Stefan / Gassner, Michael J. / Cao, Yu / Chang, Hsin / Chen, Jeng-Horng et al. | 2006
- 61522A
-
An advanced AFM sensor for high-aspect ratio pattern profile in-line measurementWatanabe, Masahiro / Baba, Shuichi / Nakata, Toshihiko / Kurenuma, Toru / Kuroda, Hiroshi / Hiroki, Takenori et al. | 2006
- 61522B
-
Lithography rework reduction and improved process control using AIM targets on aluminum layers in the high-volume production of 110-nm DRAM devicesHofmann, Detlef / Rabe, Frank / Avrahamov, Yosef / Sparka, Christian et al. | 2006
- 61522C
-
In-line monitoring of advanced copper CMP processes with picosecond ultrasonic metrologyHsieh, Ming Hsun / Yeh, J. H. / Tsai, Mingsheng / Yang, Chan Lon / Tan, John / Leary, Sean Patrick et al. | 2006
- 61522D
-
Bias-free measurement of LER/LWR with low damage by CD-SEMYamaguchi, Atsuko / Steffen, Robert / Kawada, Hiroki / Iizumi, Takashi et al. | 2006
- 61522E
-
Study of critical dimension and overlay measurement methodology using SEM image analysis for process controlLee, Tae Yong / Lee, Byoung Ho / Chin, Soo Bok / Cho, Young Sun / Hong, Jun Sik / Hong, Jong Seo / Song, Chang Lyong et al. | 2006
- 61522F
-
Printability study with polarisation capable AIMSTMfab 193i to study polarisation effectsZibold, Axel / Stroessner, Ulrich / Ridley, Andrew / Scherübl, Thomas / Rosenkranz, Norbert / Harnisch, Wolfgang / Poortinga, Eric / Schmid, Rainer / Bekaert, Joost / Philipsen, Vicky et al. | 2006
- 61522G
-
Image-based nanoscale dimensional metrologyLiu, An-Shun / Ku, Yi-Sha / Smith, Nigel et al. | 2006
- 61522H
-
The study to enhance the accuracy of FIB repair on mask pattern of DRAMChoi, Yongkyoo / Kim, Heecheon / Kim, Sangchul / Han, Oscar et al. | 2006
- 61522I
-
Improved profile measurement accuracy via feed-forward spectroscopic ellipsometryPeters, Robert M. / Lakkapragada, Suresh et al. | 2006
- 61522K
-
Statistical analysis of CD-SEM measurement and process control in the indistinguishable multiprocess patternsYang, Duck-Sun / Jung, Myung-Ho / Lee, Young-Mi / Koh, Cha-Won / Yeo, Gi-Sung / Woo, Sang-Gyun / Cho, Han-Ku / Moon, Joo-Tae et al. | 2006
- 61522L
-
Contact-area metrology of magnetic tunneling junction structuresZhong, Tom / Liu, Daniel / Moran, Amit / Levkovitch, Michael / Har-Zvi, Michael / Burkhardt, Bob et al. | 2006
- 61522M
-
An advanced study for defect disposition through 193-nm aerial imagingDürr, Arndt C. / Zibold, Axel M. / Böhm, Klaus et al. | 2006
- 61522N
-
Characterizing optical proximity effect difference among exposure toolsHong, Jongkyun / Lee, Jeonkyu / Kang, Eunsuk / Yang, Hyunjo / Yim, Donggyu / Kim, Jinwoong et al. | 2006
- 61522O
-
EUV wavefront metrology at EUVAOuchi, Chidane / Kato, Seima / Hasegawa, Masanobu / Hasegawa, Takayuki / Yokota, Hideo / Sugisaki, Katsumi / Okada, Masashi / Murakami, Katsuhiko / Saito, Jun / Niibe, Masahito et al. | 2006
- 61522P
-
Probabilistic calibration of a simple resist model for simulation-based scoring of mask defectsLuk-Pat, Gerard T. / Kondepudy, Raghava V. / Du, Robert / Morgan, Ray E. et al. | 2006
- 61522Q
-
Advanced CDSEM matching methodology for OPC litho-cell-based matching verificationAdan, Ofer / Cramer, Hugo / Van Brederode, Erik / Schreutelkamp, Robert / Englard, Ilan et al. | 2006
- 61522R
-
Critical dimension AFM tip characterization and image reconstruction applied to the 45-nm nodeDahlen, Gregory / Osborn, Marc / Liu, Hao-Chih / Jain, Rohit / Foreman, William / Osborne, Jason R. et al. | 2006
- 61522S
-
Bossung curves: an old technique with a new twist for sub-90-nm nodesZavecz, Terrence E. et al. | 2006
- 61522T
-
Application of scatterometry to measure organic material profileKoo, Sunyoung / Ban, Keundo / Lim, Chang-moon / Bok, Cheolkyu / Moon, Seung-Chan / Kim, Jinwoong et al. | 2006
- 61522U
-
Characterization and applications of an in-scanner aerial image detection systemHunsche, S. / Gassner, M. J. / Schefske, J. A. / Kent, E. R. / Acheta, A. et al. | 2006
- 61522W
-
An in-line image quality monitoring system for imaging device fabrication using automated macro-inspectionSasaki, Tohru / Hikichi, Kunihiko / Sugimoto, Dai / Izumi, Nozomu / Uda, Mitsuru / Kohayase, Atsushi / Yamashita, Hiroshi et al. | 2006
- 61522X
-
Imaging simulations of optimized overlay marks with deep sub-resolution featuresKandel, Daniel / Adel, Michael E. / Frommer, Aviv / Levinski, Vladimir / Rapoport, Alexandra / Silver, Richard M. et al. | 2006
- 61522Y
-
Carbon nanotube AFM probes for microlithography process controlLiu, Hao-Chih / Fong, David / Dahlen, Gregory A. / Osborn, Marc / Hand, Sean / Osborne, Jason R. et al. | 2006
- 61522Z
-
Spectroscopic polarized scatterometry applied to single-line profilingStehlé, Jean-Louis / Piel, Jean-Philippe / Campillo, Jose / Zahorski, Dorian / Giovannini, Hugues et al. | 2006
- 61522Z
-
Spectroscopic polarized scatterometry applied to single-line profiling [6152-116]Stehle, J.-L. / Piel, J.-P. / Campillo, J. / Zahorski, D. / Giovannini, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 61523A
-
Overlay improvement by using new framework of grid compensation for matchingSukegawa, Ayako / Wakamoto, Shinji / Nakajima, Shinichi / Kawakubo, Masaharu / Magome, Nobutaka et al. | 2006
- 61523B
-
Optimization of an integrated and automated macro inspection system for the utilization of wafer color variation detection in a photolithography clusterLickteig, Stephen J. / Forstner, Thomas W. / Barnett, Anthony R. / Dixon, David S. / Menon, Vinayan C. / Isaacson, Robert L. / Nicholls, Matthew C. / Liu, Yonqiang / Kinikoglu, Pinar et al. | 2006
- 61523C
-
Optical measurements of critical dimensions at several stages of the mask fabrication processLam, John C. / Gray, Alexander et al. | 2006
- 61523D
-
Aerial image sensor: in-situ scanner aberration monitorTyminski, Jacek K. / Hagiwara, Tsuneyuki / Kondo, Naoto / Irihama, Hiroshi et al. | 2006
- 61523E
-
Correlation of wafer backside defects to photolithography hot spots using advanced macro inspectionCarlson, Alan / Le, Tuan et al. | 2006
- 61523F
-
High-performance imprint lithography and novel metrology methods using multifunctional perfluoropolyethersDenison Rothrock, Ginger / Maynor, Benjamin / Rolland, Jason P. / DeSimone, Joseph M. et al. | 2006
- 61523H
-
An integrated solution for photomask manufacturing, handling, and storage at 65 nm and belowSchwitzgebel, Jörg / Xiao, Guangming / Rockwell, Barry / Nozaki, Sammy / Darvish, Ali / Wu, Chris et al. | 2006
- 61523I
-
Defining the role of SEM metrology for advanced process controlNikitin, A. / Sicignano, A. / Yeremin, D. / Sandy, M. / Goldburt, T. et al. | 2006
- 61523J
-
Metrology delay time reduction in lithography via small-lot wafer transportShah, Vinay K. / Englhardt, Eric A. / Koshti, Sushant / Armer, Helen R. et al. | 2006
- 61523K
-
Increased yield and tool life by reduction of DUV photo contamination using parts-per-trillion pure purge gasesLandoni, Cristian / Succi, Marco / Rabellino, Larry et al. | 2006
- 61523L
-
High-throughput contact critical dimension and gray level value measurementXiao, Hong / Fang, Wei / Zhao, Yan / Huang, Mark / Wang, Kai / Wong, Darren / Jau, Jack et al. | 2006
- 61523M
-
Real-time on-line monitoring of process water for low concentrations of bacteriaAdams, John A. / McCarty, David / Crousore, Kristina et al. | 2006
- 61523N
-
Mask inspection method using the electron beam inspection system based on projection electron microscopyOnishi, Atsushi / Nagahama, Ichirota / Yamazaki, Yuichiro / Noji, Nobuharu / Kaga, Toru / Terao, Kenji et al. | 2006
- 61523O
-
3D isolated and periodic grooves measurement simulations for semiconductor circuits by scatterometry using the FDTD methods and the time shortening calculation methodShirasaki, Hirokimi et al. | 2006
- 61523P
-
Lens-cementing technology used in optical system of DUV wavelength region: selection of optical cement and degradation evaluation by DUV irradiationTakahashi, Takashi / Saito, Susumu / Okumura, Toshiki / Suzuki, Etsuya / Kojima, Tatsuya / Motomiya, Shinsuke / Maruyama, Hidesuke / Suzuki, Hitoshi / Machida, Koji / Tojo, Toru et al. | 2006
- 61523Q
-
Swing curve measurement and simulation for high NA lithographyBauer, J. / Haak, U. / Schulz, K. / Old, G. / Kraft, A. et al. | 2006
- 61523R
-
An investigation of the removal of 1-Methyl-2-Pyrrolidinone (NMP)Dallas, Andrew J. / Ding, Lefei / Joriman, Jon / Hoang, Brian / Seguin, Kevin / Zastera, Dustin et al. | 2006
- 61523S
-
Köhler illumination for high-resolution optical metrologySohn, Yeung Joon / Barnes, Brian M. / Howard, Lowell / Silver, Richard M. / Attota, Ravikiran / Stocker, Michael T. et al. | 2006
- 61523T
-
Critical dimension variations of I-line processes due to swing effectsBerger, C. / Schiwon, R. / Trepte, S. / Friedrich, M. / Kubis, M. / Horst, J. / Grandpierre, A. G. et al. | 2006
- 61523U
-
Detection signal analysis of actinic inspection of EUV mask blanks using dark-field imagingTanaka, Toshihiko / Tezuka, Yoshihiro / Terasawa, Tsuneo / Tomie, Toshihisa et al. | 2006
- 61523V
-
Comparison of I-line and DUV high-energy implant litho processesGrandpierre, A. G. / Berger, C. / Schroeder, U. P. / Schiwon, R. / Kubis, M. et al. | 2006
- 61523W
-
Overlay improvement by nonlinear error correction and nonlinear error control by APCChoi, Dongsub / Jahnke, Andreas / Schumacher, Karl / Hoepfl, Max et al. | 2006
- 61523Y
-
Super-resolving optical microscope by means of two-photon entanglementFukutake, Naoki et al. | 2006
- 61523Z
-
Influence of electron incident angle distribution on CD-SEM linewidth measurements [6152-160]Tanaka, M. / Shishido, C. / Kawada, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 61523Z
-
Influence of electron incident angle distribution on CD-SEM linewidth measurementsTanaka, Maki / Shishido, Chie / Kawada, Hiroki et al. | 2006
- 61524A
-
High-resistance W-plug monitoring with an advance e-beam inspection systemLiu, Hermes / Yeh, J. H. / Yang, Chan Lon / Lei, S. C. / Kao, J. Y. / Yang, Y. D. / Tsai, Mingsheng / Tzou, S. F. / Wu, Wei-Yih / Wu, Hong-Chi et al. | 2006
- 61524B
-
The effect of transmission reduction by reticle haze formationKim, Sung-Jin / Kyoung, Jai-Sun / Park, Jin-Back / Kim, Young-Hoon / Park, Seung-Wook / An, Il-Sin / Oh, Hye-Keun et al. | 2006
- 61524C
-
AIM technology for nonvolatile memories microelectronics devicesRigolli, Pier Luigi / Rozzoni, Laura / Turco, Catia / Iessi, Umberto / Polli, Marco / Kassel, Elyakim / Izikson, Pavel / Avrahamov, Yosef et al. | 2006
- 61524D
-
Effect of grating pitch variation on scatterometry measurementsForman, Darren / Littau, Mike / Raymond, Christopher J. / Hummel, Steven G. et al. | 2006
- 61524E
-
Satellite spot defect reduction on 193-nm contact hole lithography using photo cell monitor methodologyBoulenger, Caroline / Caze, Jean-Luc / Mihet, Mihaela et al. | 2006
- 61524F
-
Robust defect detection method using reference image averaging for high-throughput SEM wafer pattern inspection systemOkuda, Hirohito / Hiroi, Takashi et al. | 2006
- 61524H
-
Error factor in bottom CD measurement for contact hole using CD-SEMAbe, Hideaki / Yamazaki, Yuichiro et al. | 2006
- 61524I
-
A novel approach to characterize trench depth and profile using the 3D tilt capability of a critical dimension-scanning electron microscope at 65nm technology modeSrivastava, R. / Yelehanka, P. / Kek, H. A. / Ng, S. L. / Srinivasan, V. / Peltinov, R. et al. | 2006
- 61524J
-
Landing energy influence on CD-SEM measurement precision and accuracyFabre, Anne-Lise / Foucher, Johann / Poulingue, M. / Fabre, P. / Sundaram, Ganesh et al. | 2006
- 61524K
-
65-nm photolithography process window qualification study with advanced e-beam metrology and inspection systemsHsu, Ruei Hung / Lin, Benjamin Szu-Min / Wu, Wei-Yih / Xiao, Hong / Jau, Jack et al. | 2006
- 61524L
-
Bias reduction in roughness measurement through SEM noise removalKatz, R. / Chase, C. D. / Kris, R. / Peltinov, R. / Villarrubia, J. / Bunday, B. et al. | 2006
- 61524M
-
Low-pressure drop airborne molecular contaminant filtration using open-channel networksDallas, Andrew J. / Ding, Lefei / Joriman, Jon / Zastera, Dustin / Seguin, Kevin / Empson, James et al. | 2006
- 61524N
-
Development of the automatic recipe generation system for CD-SEM using design dataMatsuoka, Ryoichi / Miyamoto, Atsushi / Nagatomo, Wataru / Morokuma, Hidetoshi / Sutani, Takumichi et al. | 2006
- 61524O
-
From speculation to specification: a discussion on how to define the tolerance of LER/LWR and its measurement methodologyYamaguchi, Atsuko / Steffen, Robert / Kawada, Hiroki / Iizumi, Takashi / Sugimoto, Aritoshi et al. | 2006
- 61524P
-
Modeling of linewidth measurement in SEMs using advanced Monte Carlo softwareBabin, S. / Borisov, S. / Ivanchikov, A. / Ruzavin, I. et al. | 2006
- 61524Q
-
Application of nano-tips to cold field emission CD-SEMVladár, András E. / Radi, Zsolt / Postek, Michael T. / Kavuri, Premsagar P. et al. | 2006
- 61524Q
-
Application of nano-tips to cold field emission CD-SEM [6152-195]Vladar, A. E. / Radi, Z. / Postek, M. T. / Kavuri, P. P. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615201
-
Design-driven metrology: a new paradigm for DFM-enabled process characterization and control: extensibility and limitationsCapodieci, Luigi et al. | 2006
- 615201
-
Design-driven metrology: a new paradigm for DFM-enabled process characterization and control, extensibility, and limitations (Invited Paper) [6152-01]Capodieci, L. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615202
-
Model-based calculation of weighting in OPC model calibration [6152-02]Talbi, M. / Abdo, A. / Fischer, D. / Han, G. / Mansfield, S. / Oberschmidt, J. / Viswanathan, R. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615202
-
Model-based calculation of weighting in OPC model calibrationTalbi, Mohamed / Abdo, Amr / Fischer, Daniel / Han, Geng / Mansfield, Scott / Oberschmidt, James / Viswanathan, Ramya et al. | 2006
- 615203
-
Embedded charge investigation: industry concerns and metrology solutions [6152-03]Solecky, E. / Vakas, G. / Archie, C. / Adan, O. / Dajczman, A. / Cornell, R. / Llanos, P. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615203
-
Embedded charge investigation: industry concerns and metrology solutionsSolecky, Eric / Vakas, Georgios / Archie, Chas / Adan, Ofer / Dajczman, Asaf / Cornell, Roger / Llanos, Paul et al. | 2006
- 615204
-
Local CD variation in 65nm node with PSM processes STI topography characterization (I) [6152-04]Gu, Y. / Chang, S. / Zhang, G. / Kirmse, K. / Rogers, D. / Olsen, L. / Lewellen, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615204
-
Local CD variation in 65nm node with PSM processes STI topography characterization (I)Gu, Yiming / Chang, Simon / Zhang, Gary / Kirmse, Karen / Rogers, Duncan / Olsen, Leif / Lewellen, John et al. | 2006
- 615205
-
Global pattern density effects on low-k trench CDs for sub-65-nm technology nodesHsu, Ju-Wang / Shieh, J. H. / Doong, Kelvin Y. Y. / Hung, L. J. / Lin, S. C. / Ting, C. Y. / Jang, S. M. / Young, K. L. / Liang, M. S. et al. | 2006
- 615205
-
Global pattern density effects on low-k trench CDs for sub-65-nm technology nodes [6152-05]Hsu, J.-W. / Shieh, J. H. / Doong, K. Y. Y. / Hung, L. J. / Lin, S. C. / Ting, C. Y. / Jang, S. M. / Young, K. L. / Liang, M. S. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615207
-
Defect metrology challenges for the 45-nm technology node and beyondPatel, Dilip / Hanrahan, Jeffrey / Lim, Kyuhong / Godwin, Milton / Figliozzi, Peter / Sheu, Dale et al. | 2006
- 615207
-
Defect metrology challenges for the 45-nm technology node and beyond (Invited Paper) [6152-07]Patel, D. / Hanrahan, J. / Lim, K. / Godwin, M. / Figliozzi, P. / Sheu, D. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615208
-
Yield enhancement methodologies for 90-nm technology and beyondAllgair, John / Carey, Todd / Dougan, James / Etnyre, Tony / Langdon, Nate / Murray, Brooke et al. | 2006
- 615208
-
Yield enhancement methodologies for 90-nm technology and beyond [6152-08]Allgair, J. / Carey, T. / Dougan, J. / Etnyre, T. / Langdon, N. / Murray, B. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615209
-
Toward full-chip prediction of yield-limiting contact patterning failure: correlation of simulated image parameters to advanced contact metrology metricsSturtevant, John L. / Chou, Dyiann et al. | 2006
- 615209
-
Toward full-chip prediction of yield-limiting contact patterning failure: correlation of simulated image parameters to advanced contact metrology metrics [6152-09]Sturtevant, J. L. / Chou, D. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615210
-
Multilayer overlay metrologyAusschnitt, C. P. / Morningstar, J. / Muth, W. / Schneider, J. / Yerdon, R. J. / Binns, L. A. / Smith, N. P. et al. | 2006
- 615210
-
Multi-layer overlay metrology [6152-36]Ausschnitt, C. P. / Morningstar, J. / Muth, W. / Schneider, J. / Yerdon, R. J. / Binns, L. A. / Smith, N. P. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615211
-
Calibrating optical overlay measurementsLipscomb, W. P. / Allgair, J. A. / Bunday, B. D. / Bishop, M. R. / Silver, R. M. / Attota, R. / Stocker, M. D. et al. | 2006
- 615211
-
Calibrating optical overlay measurements [6152-37]Lipscomb, W. P. / Allgair, J. A. / Bunday, B. D. / Bishop, M. R. / Silver, R. M. / Attota, R. / Stocker, M. D. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615212
-
Metrology tool fleet management: applying FMP tool matching and monitoring concepts to an overlay fleet [6152-38]Morningstar, J. / Solecky, E. / Archie, C. / Banke, B. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615212
-
Metrology tool fleet management: applying FMP tool matching and monitoring concepts to an overlay fleetMorningstar, Jennifer / Solecky, Eric / Archie, Chas / Banke, Bill et al. | 2006
- 615213
-
In-field overlay uncertainty contributors: a back end study [6152-39]Adel, M. / Frommer, A. / Kassel, E. / Izikson, P. / Leray, P. / Schulz, B. / Seltmann, R. / Busch, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615213
-
In-field overlay uncertainty contributors: a back end studyAdel, Mike / Frommer, Aviv / Kassel, Elyakim / Izikson, Pavel / Leray, Philippe / Schulz, Bernd / Seltmann, Rolf / Busch, Jens et al. | 2006
- 615214
-
In-chip overlay metrology [6152-40]Ku, Y. S. / Tung, C. H. / Li, Y. P. / Pang, H. L. / Smith, N. P. / Binns, L. / Rigden, T. / Reynolds, G. / Fink, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615214
-
In-chip overlay metrologyKu, Y. S. / Tung, C. H. / Li, Y. P. / Pang, H. L. / Smith, N. P. / Binns, L. / Rigden, T. / Reynolds, G. / Fink, H. et al. | 2006
- 615215
-
Self-interferometric electrical image monitorsHolwill, Juliet / Neureuther, Andrew R. et al. | 2006
- 615215
-
Self-interferometric electrical image monitors [6152-41]Holwill, J. / Neureuther, A. R. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615216
-
Three-dimensional metrology with side-wall measurement using tilt-scanning operation in digital probing AFM [6152-42]Murayama, K. / Gonda, S. / Koyanagi, H. / Terasawa, T. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615216
-
Three-dimensional metrology with side-wall measurement using tilt-scanning operation in digital probing AFMMurayama, Ken / Gonda, Satoshi / Koyanagi, Hajime / Terasawa, Tsuneo et al. | 2006
- 615217
-
In-line TEM sample preparation and wafer return strategy for rapid yield learningBicaïs-Lépinay, N. / André, F. / Brevers, S. / Guyader, P. / Trouiller, C. / Kwakman, L. F. Tz. / Pokrant, S. / Verkleij, D. / Schampers, R. / Ithier, L. et al. | 2006
- 615217
-
In-line TEM sample preparation and wafer return strategy for rapid yield learning [6152-81]Bicais-Lepinay, N. / Andre, F. / Brevers, S. / Guyader, P. / Trouiller, C. / Kwakman, L. F. T. / Pokrant, S. / Verkleij, D. / Schampers, R. / Ithier, L. et al. | 2006
- 615218
-
Novel techniques for in-line acquisition of microstructure profilesMarchman, H. et al. | 2006
- 615218
-
Novel techniques for in-line acquisition of microstructure profiles [6152-44]Marchman, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615219
-
Comprehensive approach to MuGFET metrologyLorusso, G. F. / Leray, P. / Vandeweyer, T. / Ercken, M. / Delvaux, C. / Pollentier, I. / Cheng, S. / Collaert, N. / Rooyackers, R. / Degroote, B. et al. | 2006
- 615219
-
Comprehensive approach to MuGFET metrology [6152-45]Lorusso, G. F. / Leray, P. / Vandeweyer, T. / Ercken, M. / Delvaux, C. / Pollentier, I. / Cheng, S. / Collaert, N. / Rooyackers, R. / Degroote, B. et al. | 2006
- 615220
-
Correlation of scatterometry sensitivities to variation in device parameters [6152-55]Ko, C.-H. / Ku, Y. / Smith, N. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615220
-
Correlation of scatterometry sensitivities to variation in device parametersKo, Chun-Hung / Ku, Yi-sha / Smith, Nigel et al. | 2006
- 615221
-
Integrated aerial image sensor: modeling and assemblyXue, Jing / Moen, Kurt / Spanos, Costas J. et al. | 2006
- 615221
-
Integrated aerial image sensor: modeling and assembly [6152-61]Xue, J. / Moen, K. / Spanos, C. J. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615222
-
Improvement of alignment and overlay accuracy on amorphous carbon layers [6152-73]Hwang, Y.-S. / Kang, E. / Lee, K. / Ban, K.-D. / Bok, C.-K. / Lim, C.-M. / Kim, H.-S. / Moon, S.-C. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615222
-
Improvement of alignment and overlay accuracy on amorphous carbon layersHwang, Young-Sun / Kang, Eung-kil / Lee, Ki-lyoung / Ban, Keun-Do / Bok, Cheol-Kyu / Lim, Chang-Moon / Kim, Hyeong-Soo / Moon, Seung-Chan et al. | 2006
- 615223
-
nDSE-based overlay alignment: enabling technology for nanometrology and fabrication [6152-74]Gao, J. / Picciotto, C. / Wu, W. / Park, I. / Tong, W. M. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615223
-
nDSE-based overlay alignment: enabling technology for nanometrology and fabricationGao, Jun / Picciotto, Carl / Wu, Wei / Park, Inkyu / Tong, William M. et al. | 2006
- 615224
-
Long-range nanopositioning and nanomeasuring machine for application to micro- and nanotechnologyJäger, Gerd / Hausotte, Tino / Büchner, Hans-Joachim / Manske, Eberhard / Schmidt, Ingomar / Mastylo, Rostyslav et al. | 2006
- 615224
-
Long-range nanopositioning and nanomeasuring machine for application to micro- and nanotechnology [6152-75]Jager, G. / Hausotte, T. / Buchner, H.-J. / Manske, E. / Schmidt, I. / Mastylo, R. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615225
-
CD variations correction by local transmission control of photomasks done with a novel laser-based process [6152-76]Zait, E. / Dmitriev, V. / Oshemkov, S. / Ben-Zvi, G. / Michaelis, D. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615225
-
CD variations correction by local transmission control of photomasks done with a novel laser-based processZait, Eitan / Dmitriev, Vladimir / Oshemkov, Sergey / Ben-Zvi, Guy / Michaelis, Dany et al. | 2006
- 615226
-
Verification of the system of defect inspection on patterned wafers using sub-200nm wavelength lightTakahashi, Tetsuo / Miyazaki, Yoko / Tanaka, Toshihiko / Terasawa, Tsuneo / Takeuchi, Naoya et al. | 2006
- 615226
-
Verification of the system of defect inspection on patterned wafers using sub-200nm wavelength light [6152-78]Takahashi, T. / Miyazaki, Y. / Tanaka, T. / Terasawa, T. / Takeuchi, N. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615227
-
Electron-beam-based modification of lithographic materials and the impact on critical dimensional metrology [6152-79]Marchman, H. / Lorusso, G. F. / Soltz, D. / Grella, L. / Luo, Z. / Byers, J. D. / Varner, J. / Vedula, S. / Kuppa, R. / Azordegan, A. R. et al. | 2006
- 615227
-
Electron beam based modification of lithographic materials and the impact on critical dimensional metrologyMarchman, H. / Lorusso, G. F. / Soltz, D. / Grella, L. / Luo, Z. / Byers, J. D. / Varner, J. / Vedula, S. / Kuppa, R. / Azordegan, A. R. et al. | 2006
- 615228
-
ArF scanner performance improvement by using track integrated CD optimizationHuang, Jacky / Yu, Shinn-Sheng / Ke, Chih-Ming / Wu, Timothy / Wang, Yu-Hsi / Gau, Tsai-Sheng / Wang, Dennis / Li, Allen / Yang, Wenge / Kaoru, Araki et al. | 2006
- 615228
-
ArF scanner performance improvement by using track integrated CD optimization [6152-80]Huang, J. / Yu, S.-S. / Ke, C.-M. / Wu, T. / Wang, Y.-H. / Gau, T.-S. / Wang, D. / Li, A. / Yang, W. / Kaoru, A. et al. | 2006
- 615230
-
Modeling of substrate current measurement and charge transfer in insulatorsKo, Yeong-Uk / Yamada, Keizo / Ushiki, Takeo / Newcomb, Robert et al. | 2006
- 615230
-
Modeling of substrate current measurement and charge transfer in insulators [6152-117]Ko, Y.-U. / Yamada, K. / Ushiki, T. / Newcomb, R. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615231
-
An integrated approach to the determination of a manufacturable process window in advanced microlithographyCusacovich, Marcelo / Robinson, John C. / Cheng, Shaunee / Storms, Greet / Leray, Philippe / Lorusso, Gian F. et al. | 2006
- 615231
-
An integrated approach to the determination of a manufacturable process window in advanced microlithography [6152-118]Cusacovich, M. / Robinson, J. C. / Cheng, S. / Storms, G. / Leray, P. / Lorusso, G. F. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615232
-
New OPC verification method using die-to-database inspectionYang, Hyunjo / Choi, Jaeseung / Cho, Byungug / Hong, Jongkyun / Song, Jookyoung / Yim, Donggyu / Kim, Jinwoong / Yamamoto, Masahiro et al. | 2006
- 615232
-
New OPC verification method using die-to-database inspection [6152-119]Yang, H. / Choi, J. / Cho, B. / Hong, J. / Song, J. / Yim, D. / Kim, J. / Yamamoto, M. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615233
-
New measuring technique of complex index of immersion liquidsStehlé, Jean-Louis / Piel, Jean-Philippe / Campillo-Carreto, Jose et al. | 2006
- 615233
-
New measuring technique of complex index of immersion liquids [6152-120]Stehle, J.-L. / Piel, J.-P. / Campillo-Carreto, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615235
-
Using in-line AFM to monitor STI profile in 65-nm technology development [6152-124]Hsieh, M. H. / Yeh, J. H. / Tsai, M. / Yang, C. L. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615235
-
Using in-line AFM to monitor STI profile in 65-nm technology developmentHsieh, Ming Hsun / Yeh, J. H. / Tsai, Mingsheng / Yang, Chan Lon et al. | 2006
- 615236
-
Diffraction signature analysis methods for improving scatterometry precision [6152-125]Littau, M. / Forman, D. / Bruce, J. / Raymond, C. J. / Hummel, S. G. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615236
-
Diffraction signature analysis methods for improving scatterometry precisionLittau, Mike / Forman, Darren / Bruce, Josh / Raymond, Christopher J. / Hummel, Steven G. et al. | 2006
- 615237
-
Integrated projecting optics tester for inspection of immersion ArF scannerFujii, Toru / Suzuki, Kosuke / Mizuno, Yasushi / Kita, Naonori et al. | 2006
- 615237
-
Integrated projecting optics tester for inspection of immersion ArF scanner [6152-126]Fujii, T. / Suzuki, K. / Mizuno, Y. / Kita, N. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615238
-
Integrated electrostatic microsensors for the development of modeling techniques of defects in the actuation of large micro-electromechanical systems (MEMS)Reissman, Timothy / Garcia, Ephrahim / Lobontiu, Nicolae / Nam, Yoonsu et al. | 2006
- 615238
-
Integrated electrostatic micro-sensors for the development of modeling techniques of defects in the actuation of large micro-electromechanical systems (MEMS) [6152-127]Reissman, T. / Garcia, E. / Lobontiu, N. / Nam, Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615240
-
Faster root cause analysis with integrated SEM-FIB application [6152-161]Wee, S. K. / Cheung, D. / Chua, D. / Ng, S. L. / Keisari, S. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615240
-
Faster root cause analysis with integrated SEM-FIB applicationWee, S. K. / Cheung, D. / Chua, D. / Ng, S. L. / Keisari, S. et al. | 2006
- 615241
-
Open-loop measurement of data sampling point for SPMWang, Yueyu / Zhao, Xuezeng et al. | 2006
- 615241
-
Open-loop measurement of data sampling point for SPM [6152-162]Wang, Y. / Zhao, X. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615242
-
Feedback model evaluation of high-mix product manufacturingKing, Dion / Cheng, Mingjen / Lu, Aho / Mao, Zhibiao / Liang, Curtis et al. | 2006
- 615242
-
Feedback model evaluation of high-mix product manufacturing [6152-163]King, D. / Cheng, M. / Lu, A. / Mao, Z. / Liang, C. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615243
-
Image resolution monitoring technique for CD-SEMOosaki, Mayuka / Shishido, Chie / Kawada, Hiroki / Steffen, Robert et al. | 2006
- 615243
-
Image resolution monitoring technique for CD-SEM [6152-164]Oosaki, M. / Shishido, C. / Kawada, H. / Steffen, R. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615244
-
ArF photo resist pattern sample preparation method using FIB without protective coatingOkushima, Hirohisa / Onozuka, Toshihiko / Kuroda, Yasushi / Yaguchi, Toshie / Umemura, Kaoru / Tamochi, Ryuichiro / Watanabe, Kenji / Hasegawa, Norio / Kawata, Isao / Rijpers, Bart et al. | 2006
- 615244
-
ArF photo resist pattern sample preparation method using FIB without protective coating [6152-165]Okushima, H. / Onozuka, T. / Kuroda, Y. / Yaguchi, T. / Umemura, K. / Tamochi, R. / Watanabe, K. / Hasegawa, N. / Kawata, I. / Rijpers, B. et al. | 2006
- 615245
-
Real-time aerial-images-based mask inspection, die-to-wafer image inspection [6152-166]Takada, A. / Tojo, T. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615245
-
Real-time aerial-images-based mask inspection, die-to-wafer image inspectionTakada, Akira / Tojo, Toru et al. | 2006
- 615246
-
Spatial analysis of line-edge roughness through scaling and fractal concepts using AFM techniquesLi, Ning / Zhao, Xuezeng / Wang, Weijie / Li, Hongbo et al. | 2006
- 615246
-
Spatial analysis of line-edge roughness through scaling and fractal concepts using AFM techniques [6152-167]Li, N. / Zhao, X. / Wang, W. / Li, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615247
-
Improving scanner productivity and control through innovative connectivity application [6152-168]Ishii, Y. / Wakamoto, S. / Kato, A. / Eichelberger, B. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615247
-
Improving scanner productivity and control through innovative connectivity applicationIshii, Yuuki / Wakamoto, Shinji / Kato, Atsuhiko / Eichelberger, Brad et al. | 2006
- 615248
-
Study of ADI (after develop inspection) using electron beamSaito, Misako / Hayashi, Teruyuki / Fujihara, Kaoru / Saito, Kazuha / Lin, Joseph / Midorikawa, Ryotaro et al. | 2006
- 615248
-
Study of ADI (after develop inspection) using electron beam [6152-169]Saito, M. / Hayashi, T. / Fujihara, K. / Saito, K. / Lin, J. / Midorikawa, R. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615249
-
Leakage monitoring and control with an advanced e-beam inspection systemLiu, Hermes / Yeh, J. H. / Yang, Chan Lon / Lei, S. C. / Kao, J. Y. / Yang, Y. D. / Tsai, Mingsheng / Tzou, S. F. / Wu, Wei-Yih / Wu, Hong-Chi et al. | 2006
- 615249
-
Leakage monitoring and control with an advanced e-beam inspection system [6152-170]Liu, H. / Yeh, J. H. / Yang, C. L. / Lei, S. C. / Kao, J. Y. / Yang, Y. D. / Tsai, M. / Tzou, S. F. / Wu, W.-Y. / Wu, H.-C. et al. | 2006
-
Improved scatterometry method of critical dimension measurements and its application for control of development process [6152-16]Pundaleva, I. / Nam, D. / Han, H. / Lee, D. / Han, W. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Impact of line width roughness on device performance [6152-32]Lorusso, G. F. / Leunissen, L. H. A. / Gustin, C. / Mercha, A. / Jurczak, M. / Marchman, H. M. / Azordegan, A. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Characterization of across-device linewidth variation (ADLV) for 65-nm logic SRAM using CDSEM and linewidth roughness algorithms [6152-34]Chu, W. / Radens, C. / Dirahoui, B. / Grauer, I. / Samuels, D. / Credendino, S. / Nomura, A. / Cornell, R. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Phase calibration for attenuating phase-shift masks [6152-59]Hibbs, M. S. / Brunner, T. A. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Image-based nanoscale dimensional metrology [6152-93]Liu, A.-S. / Ku, Y.-S. / Smith, N. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
An advanced study for defect disposition through 193-nm aerial imaging [6152-102]Durr, A. C. / Zibold, A. M. / Bohm, K. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Advanced CDSEM matching methodology for OPC litho-cell-based matching verification [6152-106]Adan, O. / Cramer, H. / Van Brederode, E. / Schreutelkamp, R. / Englard, I. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Overlay improvement by using new framework of grid compensation for matching [6152-129]Sukegawa, A. / Wakamoto, S. / Nakajima, S. / Kawakubo, M. / Magome, N. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
An integrated solution for photomask manufacturing, handling, and storage at 65 nm and below [6152-139]Schwitzgebel, J. / Xiao, G. / Rockwell, B. / Nozaki, S. / Darvish, A. / Wu, C. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Real-time on-line monitoring of process water for low concentrations of bacteria [6152-144]Adams, J. A. / McCarty, D. / Crousore, K. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
3D isolated and periodic grooves measurement simulations for semiconductor circuits by scatterometry using the FDTD methods and the time shortening calculation method [6152-148]Shirasaki, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Advanced DFM applications using design-based metrology on CD SEM [6152-194]Lorusso, G. F. / Capodieci, L. / Stoler, D. / Schulz, B. / Roling, S. / Schramm, J. / Tabery, C. / Shah, K. / Singh, B. / Abbott, G. et al. | 2006
-
Benchmark comparison of multiple process control strategies for lithographic CD control [6152-14]Kang, W. / Mao, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Virtual measurements and simulation of interference microscopes [6152-22]Koerfer, F. / Scheermesser, S. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Scatterfield microscopy using back focal plane imaging with an engineered illumination field [6152-19]Patrick, H. J. / Attota, R. / Barnes, B. M. / Germer, T. A. / Stocker, M. T. / Silver, R. M. / Bishop, M. R. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Optical critical dimension measurement and illumination analysis using the through-focus focus metric [6152-20]Attota, R. / Silver, R. M. / Bishop, M. R. / Dixson, R. G. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Automated CD-SEM recipe creation: a new paradigm in CD-SEM utilization [6152-47]Bunday, B. / Lipscomb, W. / Allgair, J. / Yang, K. / Koshihara, S. / Morokuma, H. / Page, L. / Danilevsky, A. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Advanced x-ray mask inspection system (AXIS) using scanning electron microscopy for sub-70-nm die-to-database inspections [6152-83]Boerger, B. E. / Yu, M. / Selzer, R. A. / Ma, Y. / Ronning, D. / Ducharme, D. / Grenon, B. J. / Trybendis, M. J. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Printability study with polarisation capable AIMS fab 193i to study polarisation effects [6152-92]Zibold, A. / Stroessner, U. / Ridley, A. / Scherubl, T. / Rosenkranz, N. / Harnisch, W. / Poortinga, E. / Schmid, R. / Bekaert, J. / Philipsen, V. et al. | 2006
-
Statistical analysis of CD-SEM measurement and process control in the indistinguishable multi-process patterns [6152-100]Yang, D.-S. / Jung, M.-H. / Lee, Y.-M. / Koh, C.-W. / Yeo, G.-S. / Woo, S.-G. / Cho, H.-K. / Moon, J.-T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Lens-cementing technology used in optical system of DUV wavelength region: selection of optical cement and degradation evaluation by DUV irradiation [6152-149]Takahashi, T. / Saito, S. / Okumura, T. / Suzuki, E. / Kojima, T. / Motomiya, S. / Maruyama, H. / Suzuki, H. / Machida, K. / Tojo, T. et al. | 2006
-
Swing curve measurement and simulation for high NA lithography [6152-150]Bauer, J. / Haak, U. / Schulz, K. / Old, G. / Kraft, A. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Kohler illumination for high-resolution optical metrology [6152-152]Sohn, Y. J. / Barnes, B. M. / Howard, L. / Silver, R. M. / Attota, R. / Stocker, M. T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Development of the automatic recipe generation system for CD-SEM using design data [6152-191]Matsuoka, R. / Miyamoto, A. / Nagatomo, W. / Morokuma, H. / Sutani, T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Application of optical CD metrology based on both spectroscopic ellipsometry and scatterometry for Si-recess monitor [6152-17]Huang, P. C. Y. / Chen, R. C. J. / Chen, F.-C. / Perng, B.-C. / Shieh, J.-H. / Jang, S. M. / Liang, M. S. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Evaluation of damage induced by electron-beam irradiation to MOS gate pattern and method for damage-free inspection [6152-66]Matsui, M. / Machida, S. / Mine, T. / Hozawa, K. / Watanabe, K. / Goto, Y. / Inoue, J. / Nagaishi, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Product and tool control using integrated auto-macro defect inspection in the photolithography cluster [6152-65]Menon, V. C. / Isaacson, R. L. / Nicholls, M. C. / Lickteig, S. J. / Forstner, T. / Barnett, A. R. / Mulhall, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Lithography rework reduction and improved process control using AIM targets on aluminum layers in the high-volume production of 110-nm DRAM devices [6152-86]Hofmann, D. / Rabe, F. / Avrahamov, Y. / Sparka, C. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Probabilistic calibration of a simple resist model for simulation-based scoring of mask defects [6152-105]Luk-Pat, G. T. / Kondepudy, R. V. / Du, R. / Morgan, R. E. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Mask inspection method using the electron beam inspection system based on projection electron microscopy [6152-146]Onishi, A. / Nagahama, I. / Yamazaki, Y. / Noji, N. / Kaga, T. / Terao, K. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
The effect of transmission reduction by reticle haze formation [6152-173]Kim, S.-S. / Kyoung, J.-S. / Park, J.-B. / Kim, Y.-H. / Park, S.-W. / An, I.-S. / Oh, H.-K. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Satellite spot defect reduction on 193-nm contact hole lithography using photo cell monitor methodology [6152-180]Boulenger, C. / Caze, J.-L. / Mihet, M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Modeling of linewidth measurement in SEMs using advanced Monte Carlo software [6152-193]Babin, S. / Borisov, S. / Ivanchikov, A. / Ruzavin, I. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
In-chip optical CD measurements for non-volatile memory devices [6152-13]Vasconi, M. / Kremer, S. / Polli, M. / Severgnini, E. / Trovati, S. S. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Line edge roughness on photo lithographic masks [6152-62]Heins, T. / Dersch, U. / Liebe, R. / Richter, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Scatterometry measurements of line end shortening structures for focus-exposure monitoring [6152-70]Hung, K. / Cheng, Y. F. / Sun, J. W. / Lin, B. S.-M. / Fu, S. / Dziura, T. G. / Cusacovich, M. / Mieher, W. D. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Imaging simulations of optimized overlay marks with deep sub-resolution features [6152-114]Kandel, D. / Adel, M. E. / Frommer, A. / Levinski, V. / Rapoport, A. / Silver, R. M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Carbon nanotube AFM probes for microlithography process control [6152-115]Liu, H.-C. / Fong, D. / Dahlen, G. A. / Osborn, M. / Hand, S. / Osborne, J. R. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Aerial image sensor: in-situ scanner aberration monitor [6152-132]Tyminski, J. K. / Hagiwara, T. / Kondo, N. / Irihama, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Defining the role of SEM metrology for advanced process control [6152-140]Nikitin, A. / Sicignano, A. / Yeremin, D. / Sandy, M. / Goldburt, T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Overlay improvement by non-linear error correction and non-linear error control by APC [6152-156]Choi, D. / Jahnke, A. / Schumacher, K. / Hoepfl, M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
A novel approach to characterize trench depth and profile using the 3D tilt capability of a critical dimension-scanning electron microscope at 65nm technology mode [6152-186]Srivastava, R. / Yelehanka, P. / Kek, H. A. / Ng, S. L. / Srinivasan, V. / Peltinov, R. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Small feature accuracy challenge for CD-SEM metrology: physical model solution [6152-28]Bunday, B. / Allgair, J. / Adan, O. / Tam, A. / Latinski, S. / Eytan, G. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Enabling DFM and APC strategies with advanced process metrics [6152-50]Monahan, K. / Whitney, U. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Development of an automated multiple-target mask CD disposition system to enable new sampling strategy [6152-58]Ma, J. / Farnsworth, J. / Bassist, L. / Cui, Y. / Mammen, B. / Padmanaban, R. / Nadamuni, V. / Kamath, M. / Buckmann, K. / Neff, J. et al. | 2006
-
Back end of line metrology control applications using scatterometry [6152-90]Towidjaja, L. / Raymond, C. / Littau, M. / Forman, D. / Hummel, S. G. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
The study to enhance the accuracy of FIB repair on mask pattern of DRAM [6152-94]Choi, Y. / Kim, H. / Kim, S. / Han, O. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Improved profile measurement accuracy via feed-forward spectroscopic ellipsometry [6152-96]Peters, R. M. / Lakkapragada, S. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
EUV wavefront metrology at EUVA [6152-104]Ouchi, C. / Kato, S. / Hasegawa, M. / Hasegawa, T. / Yokota, H. / Sugisaki, K. / Okada, M. / Murakami, K. / Saito, J. / Niibe, M. et al. | 2006
-
Critical dimension AFM tip characterization and image reconstruction applied to the 45-nm node [6152-107]Dahlen, G. / Osborn, M. / Liu, H.-C. / Jain, R. / Foreman, W. / Osborne, J. R. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Metrology delay time reduction in lithography via small-lot wafer transport [6152-141]Shah, V. K. / Englhardt, E. A. / Koshti, S. / Armer, H. R. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
High-throughput contact critical dimension and gray level value measurement [6152-143]Xiao, H. / Fang, W. / Zhao, Y. / Huang, M. / Wang, K. / Wong, D. / Jau, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Comparison of I-line and DUV high-energy implant litho processes [6152-155]Grandpierre, A. G. / Berger, C. / Schroeder, U. P. / Schiwon, R. / Kubis, M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Super-resolving optical microscope by means of two-photon entanglement [6152-159]Fukutake, N. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
High-resistance W-plug monitoring with an advance e-beam inspection system [6152-171]Liu, H. / Yeh, J. H. / Yang, C. L. / Lei, S. C. / Kao, J. Y. / Yang, Y. D. / Tsai, M. / Tzou, S. F. / Wu, W.-Y. / Wu, H.-C. et al. | 2006
-
AIM technology for non-volatile memories microelectronics devices [6152-175]Rigolli, P. L. / Rozzoni, L. / Turco, C. / Iessi, U. / Polli, M. / Kassel, E. / Izikson, P. / Avrahamov, Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Low-pressure drop airborne molecular contaminant filtration using open-channel networks [6152-190]Dallas, A. J. / Ding, L. / Joriman, J. / Zastera, D. / Seguin, K. / Empson, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Traceable atomic force microscope dimensional metrology at NIST [6152-25]Dixson, R. / Orji, N. G. / Fu, J. / Cresswell, M. / Allen, R. / Guthrie, W. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Estimation of pattern shape based on CD-SEM image by using MPPC method [6152-49]Onozuka, T. / Ojima, Y. / Meessen, J. / Rijpers, B. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Application of scatterometry to measure organic material profile [6152-110]Koo, S. / Ban, K. / Lim, C. / Bok, C. / Moon, S.-C. / Kim, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Error factor in bottom CD measurement for contact hole using CD-SEM [6152-185]Abe, H. / Yamazaki, Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Bias reduction in roughness measurement through SEM noise removal [6152-189]Katz, R. / Chase, C. D. / Kris, R. / Peltinov, R. / Villarrubia, J. / Bunday, B. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Simulations of optical microscope images [6152-18]Germer, T. A. / Marx, E. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Characterization of line edge roughness using CD-SAXS [6152-23]Jones, R. L. / Wu, W. / Wang, C. / Lin, E. K. / Choi, K. / Rice, B. J. / Thompson, G. M. / Weigand, S. J. / Keane, D. T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Tools to measure CD-SEM performance [6152-29]Kim, J. / Jalhadi, K. / Deo, S. / Lee, S.-Y. / Joy, D. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
CD-AFM versus CD-SEM for resist LER and LWR measurements [6152-31]Foucher, J. / Fabre, A. L. / Gautier, P. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
An in-line image quality monitoring system for imaging device fabrication using automated macro-inspection [6152-113]Sasaki, T. / Hikichi, K. / Sugimoto, D. / Izumi, N. / Uda, M. / Kohayase, A. / Yamashita, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Characterization and applications of an in-scanner aerial image detection system [6152-111]Hunsche, S. / Gassner, M. J. / Schefske, J. A. / Kent, E. R. / Acheta, A. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Optical measurements of critical dimensions at several stages of the mask fabrication process [6152-131]Lam, J. C. / Gray, A. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Critical dimension variations of I-line processes due to swing effects [6152-153]Berger, C. / Schiwon, R. / Trepte, S. / Friedrich, M. / Kubis, M. / Horst, J. / Grandpierre, A. G. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Carbon nanotube probes for three-dimensional critical-dimension metrology [6152-84]Park, B. C. / Ahn, S. J. / Choi, J. / Jung, K. Y. / Song, W. Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Macro analysis of line edge and line width roughness [6152-33]Shin, J. / Yoon, J. / Jung, Y. / Lee, S. / Woo, S.-G. / Cho, H.-K. / Moon, J.-T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Decorrelation of fitting parameters by Mueller polarimetry in conical diffraction [6152-53]De Martino, A. / Novikova, T. / Arnold, C. / BenHatit, S. / Drevillon, B. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Dome scatterometry for the measurement of advanced geometry semiconductor devices [6152-54]Raymond, C. J. / Littau, M. / Forman, D. / Hummel, S. G. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Investigation on polarization monitoring mask: pattern design and experimental verification [6152-60]Hwang, C. / Park, D.-W. / Shin, J.-H. / Nam, D.-S. / Lee, S.-J. / Woo, S.-G. / Cho, H.-K. / Moon, J.-T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
High-performance imprint lithography and novel metrology methods using multifunctional perfluoropolyethers [6152-136]Rothrock, G. D. / Maynor, B. / Rolland, J. P. / DeSimone, J. M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
A systematic study of missing via mechanism and its solutions [6152-10]Wang, L. / Huang, W. / Wu, Q. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Minimizing CD measurement bias through real-time acquisition of 3D feature shapes [6152-46]Foucher, J. / Gorelikov, D. / Poulingue, M. / Fabre, P. / Sundaram, G. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Influence of semiconductor manufacturing process variation on device parameter measurement for angular scatterometry [6152-56]Wang, S.-C. / Ku, Y.-S. / Shyu, D.-M. / Ko, C.-H. / Smith, N. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Compensating measured intra-wafer ring oscillator stage delay with intra-wafer exposure dose corrections [6152-71]Verhaegen, S. / Nackaerts, A. / Dusa, M. / Carpaij, R. / Vandenberghe, G. / Finders, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
An advanced AFM sensor for high-aspect ratio pattern profile in-line measurement [6152-85]Watanabe, M. / Baba, S. / Nakata, T. / Kurenuma, T. / Kuroda, H. / Hiroki, T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Correlation of wafer backside defects to photolithography hot spots using advanced macro inspection [6152-133]Carlson, A. / Le, T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Effect of grating pitch variation on scatterometry measurements [6152-177]Forman, D. / Littau, M. / Raymond, C. J. / Hummel, S. G. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Litho-metrology challenges for the 45-nm technology node and beyond (Invited Paper) [6152-12]Allgair, J. A. / Bunday, B. D. / Bishop, M. / Lipscomb, P. / Orji, N. G. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Automatic CD-SEM offline recipe creation for OPC qualification and process monitoring in a DRAM pilot-fab environment [6152-99]Kramer, U. / Marschner, T. / Kaiser, D. / Winking, M. / Stief, C. / Ventola, S. / Lewitzki, D. / Abraham, Z. / Menadeva, O. / Shukrun, S. et al. | 2006
-
Progress on implementation of a CD-AFM-based reference measurement system [6152-24]Orji, N. G. / Martinez, A. / Dixson, R. G. / Allgair, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Accurate in-line CD metrology for nanometer semiconductor manufacturing [6152-26]Perng, B.-C. / Shieh, J.-H. / Jang, S.-M. / Liang, M.-S. / Huang, R. / Chen, L.-C. / Hwang, R.-L. / Hsu, J. / Fong, D. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
A comprehensive test of optical scatterometry readiness for 65-nm technology production (Invited Paper) [6152-52]Ukraintsev, V. A. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
In-line semi-electrical process diagnosis methodology for integrated process window optimization of 65nm and below technology nodes [6152-67]Lei, M.-T. / Tang, K.-H. / Wang, Y.-C. / Huang, C.-H. / Jeng, C.-C. / Wang, L.-K. / Fang, W. / Zhao, Y. / Jau, J. / Hsia, C. C. et al. | 2006
-
In-line monitoring of advanced copper CMP processes with picosecond ultrasonic metrology [6152-87]Hsieh, M. H. / Yeh, J. H. / Tsai, M. / Yang, C. L. / Tan, J. / Leary, S. P. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Contact-area metrology of magnetic tunneling junction structures [6152-101]Zhong, T. / Liu, D. / Moran, A. / Levkovitch, M. / Har-Zvi, M. / Burkhardt, B. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Increased yield and tool life by reduction of DUV photo contamination using parts-pertrillion pure purge gases [6152-142]Landoni, C. / Succi, M. / Rabellino, L. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Robust defect detection method using reference image averaging for high-throughput SEM wafer pattern inspection system [6152-181]Okuda, H. / Hiroi, T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
65-nm photolithography process window qualification study with advanced e-beam metrology and inspection systems [6152-188]Hsu, R. H. / Lin, B. S.-M. / Wu, W.-Y. / Xiao, H. / Jau, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Metrology tool fleet management: a comprehensive discussion of requirements and solutions [6152-30]Solecky, E. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
CD-etch depth measurement from advanced phase-shift masks and wafers using optical scatterometry [6152-63]Lee, K. M. / Yedur, S. / Henrichs, S. / Tavassoli, M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Study of critical dimension and overlay measurement methodology using SEM image analysis for process control [6152-91]Lee, T. Y. / Lee, B. H. / Chin, S. B. / Cho, Y. S. / Hong, J. S. / Song, C. L. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
An investigation of the removal of 1-Methyl-2-Pyrrolidinone (NMP) [6152-151]Dallas, A. J. / Ding, L. / Joriman, J. / Hoang, B. / Seguin, K. / Zastera, D. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Detection signal analysis of actinic inspection of EUV mask blanks using dark-field imaging [6152-154]Tanaka, T. / Tezuka, Y. / Terasawa, T. / Tomie, T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Landing energy influence on CD-SEM measurement precision and accuracy [6152-187]Fabre, A.-L. / Foucher, J. / Poulingue, M. / Fabre, P. / Sundaram, G. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
From speculation to specification: a discussion on how to define the tolerance of LER/LWR and its measurement methodology [6152-192]Yamaguchi, A. / Steffen, R. / Kawada, H. / Iizumi, T. / Sugimoto, A. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Integrated scatterometry in high-volume manufacturing for polysilicon gate etch control [6152-15]Sendelbach, M. / Munoz, A. / Bandy, K. A. / Prager, D. / Funk, M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Evaluation of OPC quality using automated edge placement error measurement with CD-SEM [6152-51]Tabery, C. / Morokuma, H. / Sugiyama, A. / Page, L. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Polarization control for enhanced defect detection on advanced memory devices [6152-64]Lee, B.-H. / Ihm, D.-C. / Yeo, J.-H. / Gluk, Y. / Meshulach, D. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
The use of unpatterned wafer inspection for immersion lithography defectivity studies [6152-68]Holsteyns, F. / Cheung, L. / Van Den Heuvel, D. / Marcuccilli, G. / Simpson, G. / Brun, R. / Steinbach, A. / Fyen, W. / Vangoidsenhoven, D. / Mertens, P. et al. | 2006
-
Inline CD metrology with combined use of scatterometry and CD-SEM [6152-69]Asano, M. / Ikeda, T. / Koike, T. / Abe, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Bias-free measurement of LER/LWR with low damage by CD-SEM [6152-89]Yamaguchi, A. / Steffen, R. / Kawada, H. / Iizumi, T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Characterizing optical proximity effect difference among exposure tools [6152-103]Hong, J. / Lee, J. / Kang, E. / Yang, H. / Yim, D. / Kim, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Bossung curves: an old technique with a new twist for sub-90-nm nodes [6152-109]Zavecz, T. E. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Optimization of an integrated and automated macro inspection system for the utilization of wafer color variation detection in a photolithography cluster [6152-130]Lickteig, S. J. / Forstner, T. W. / Barnett, A. R. / Dixon, D. S. / Menon, V. C. / Isaacson, R. L. / Nicholls, M. C. / Liu, Y. / Kinikoglu, P. / SPIE-- the International Society for Optical Engineering et al. | 2006