Tailored molecular glass resists for scanning probe lithography (Englisch)
- Neue Suche nach: Neuber, Christian
- Neue Suche nach: Schmidt, Hans-Werner
- Neue Suche nach: Strohriegl, Peter
- Neue Suche nach: Ringk, Andreas
- Neue Suche nach: Kolb, Tristan
- Neue Suche nach: Schedl, Andreas
- Neue Suche nach: Fokkema, Vincent
- Neue Suche nach: van Veghel, Marijn G. A.
- Neue Suche nach: Cooke, Mike
- Neue Suche nach: Rawlings, Colin
- Neue Suche nach: Dürig, Urs
- Neue Suche nach: Knoll, Armin
- Neue Suche nach: de Marneffe, Jean- François
- Neue Suche nach: el Otell, Ziad
- Neue Suche nach: Kaestner, Marcus
- Neue Suche nach: Krivoshapkina, Yana
- Neue Suche nach: Budden, Matthias
- Neue Suche nach: Rangelow, Ivo W.
- Neue Suche nach: Neuber, Christian
- Neue Suche nach: Schmidt, Hans-Werner
- Neue Suche nach: Strohriegl, Peter
- Neue Suche nach: Ringk, Andreas
- Neue Suche nach: Kolb, Tristan
- Neue Suche nach: Schedl, Andreas
- Neue Suche nach: Fokkema, Vincent
- Neue Suche nach: van Veghel, Marijn G. A.
- Neue Suche nach: Cooke, Mike
- Neue Suche nach: Rawlings, Colin
- Neue Suche nach: Dürig, Urs
- Neue Suche nach: Knoll, Armin
- Neue Suche nach: de Marneffe, Jean- François
- Neue Suche nach: el Otell, Ziad
- Neue Suche nach: Kaestner, Marcus
- Neue Suche nach: Krivoshapkina, Yana
- Neue Suche nach: Budden, Matthias
- Neue Suche nach: Rangelow, Ivo W.
In:
Proc. SPIE
;
9425
; 94250E
;
2015
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Tailored molecular glass resists for scanning probe lithography
-
Beteiligte:Neuber, Christian ( Autor:in ) / Schmidt, Hans-Werner ( Autor:in ) / Strohriegl, Peter ( Autor:in ) / Ringk, Andreas ( Autor:in ) / Kolb, Tristan ( Autor:in ) / Schedl, Andreas ( Autor:in ) / Fokkema, Vincent ( Autor:in ) / van Veghel, Marijn G. A. ( Autor:in ) / Cooke, Mike ( Autor:in ) / Rawlings, Colin ( Autor:in )
-
Kongress:Advances in Patterning Materials and Processes XXXII ; 2015 ; San Jose,California,United States
-
Erschienen in:Proc. SPIE ; 9425 ; 94250E
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:20.03.2015
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 94250A
-
Optimizing performance in cross-linking negative-tone molecular resistsLawson, Richard A. / Narcross, Hannah / Sharp, Brandon / Chun, Jun Sung / Neisser, Mark / Tolbert, Laren M. / Henderson, Clifford L. et al. | 2015
- 94250B
-
Process variation challenges and resolution in the negative-tone develop double patterning for 20nm and below technology nodeMehta, Sohan S. / Ganta, Lakshmi K. / Chauhan, Vikrant / Wu, Yixu / Singh, Sunil / Ann, Chia / Subramany, Lokesh / Higgins, Craig / Erenturk, Burcin / Srivastava, Ravi et al. | 2015
- 94250C
-
Effect of molecular resist structure on glass transition temperature and lithographic performance in epoxide functionalized negative tone resistsNarcross, Hannah / Lawson, Richard A. / Sharp, Brandon / Chun, Jun Sung / Neisser, Mark / Tolbert, Laren M. / Henderson, Clifford L. et al. | 2015
- 94250D
-
Total fidelity management in self-aligned multiple patterning processYamato, Masatoshi / Okabe, Noriaki / Hara, Arisa / Natori, Sakurako / Yamauchi, Shouhei / Koike, Kyohei / Oyama, Kenichi / Yaegashi, Hidetami et al. | 2015
- 94250E
-
Tailored molecular glass resists for scanning probe lithographyNeuber, Christian / Schmidt, Hans-Werner / Strohriegl, Peter / Ringk, Andreas / Kolb, Tristan / Schedl, Andreas / Fokkema, Vincent / van Veghel, Marijn G. A. / Cooke, Mike / Rawlings, Colin et al. | 2015
- 94250F
-
Effects of the statistical fluctuation of PAG and quencher on LWR of ArF resistsFujita, Mitsuhiro / Shirakawa, Michihiro / Yamaguchi, Shuhei et al. | 2015
- 94250G
-
Fundamental study of spin-coating using in-situ analysis and simulationHarumoto, Masahiko / Yoshida, Jun-ichi / Stokes, Harold / Tanaka, Yuji / Miyagi, Tadashi / Kaneyama, Koji / Pieczulewski, Charles / Asai, Masaya et al. | 2015
- 94250I
-
Revealing beam-induced chemistry using modulus mapping in negative-tone EUV/e-beam resists with and without cross-linker additivesKulshreshtha, Prashant / Maruyama, Ken / Dhuey, Scott / Ziegler, Dominik / Chao, Weilun / Ashby, Paul / Olynick, Deidre et al. | 2015
- 94250J
-
Understanding the efficacy of linewidth roughness post-processingMack, Chris A. et al. | 2015
- 94250K
-
Influence of etch process on contact hole local critical dimension uniformity in extreme-ultraviolet lithographyLorusso, Gian F. / Mao, Ming / Reijnen, Liesbeth / Viatkina, Katja / Knops, Roel / Rispens, Gijsbert / Fliervoet, Timon et al. | 2015
- 94250L
-
Organic hard masks utilizing fullerene derivativesFrommhold, Andreas / Brown, Alan G. / Palmer, Richard E. / Lada, Tom / Robinson, Alex P. et al. | 2015
- 94250N
-
Impact of sequential infiltration synthesis on pattern fidelity of DSA linesSingh, Arjun / Knaepen, Werner / Sayan, Safak / el Otell, Ziad / Chan, Boon Teik / Maes, Jan W. / Gronheid, Roel et al. | 2015
- 94250O
-
Material readiness for generation 2 directed self-assembly (DSA) < 24nm pitchHan, Eungnak / Younkin, Todd R. / Chandhok, Manish / Myers, Alan M. / Tronic, Tristan A. / Gstrein, Florian / Elineni, Kranthi K. / Gaikwad, Ashish / Nyhus, Paul A. / Setu, Praveen K. et al. | 2015
- 94250P
-
Directed self-assembly of topcoat-free, integration-friendly high-xblock copolymersHirahara, Eri / Paunescu, Margareta / Polishchuk, Orest / Jeong, EunJeong / Ng, Edward / Shan, Jianhui / Kim, Jihoon / Hong, SungEun / Baskaran, Durairaj / Lin, Guanyang et al. | 2015
- 94250Q
-
Driving DSA into volume manufacturingSomervell, Mark / Yamauchi, Takashi / Okada, Soichiro / Tomita, Tadatoshi / Nishi, Takanori / Kawakami, Shinichiro / Muramatsu, Makoto / Iijima, Etsuo / Rastogi, Vinayak / Nakano, Takeo et al. | 2015
- 94250R
-
Directed self-assembly process integration: fin patterning approaches and challengesSayan, Safak / Chan, B. T. / Marzook, Taisir / Vandenbroeck, Nadia / Sanchez, Efrain A. / Gronheid, Roel / Singh, Arjun / Delgadillo, Paulina R. et al. | 2015
- 94250S
-
Integrated fab process for metal oxide EUV photoresistGrenville, Andrew / Anderson, Jeremy T. / Clark, Benjamin L. / De Schepper, Peter / Edson, Joseph / Greer, Michael / Jiang, Kai / Kocsis, Michael / Meyers, Stephen T. / Stowers, Jason K. et al. | 2015
- 94250T
-
High-sensitivity molecular organometallic resist for EUV (MORE)Passarelli, James / Murphy, Michael / Del Re, Ryan / Sortland, Miriam / Dousharm, Levi / Vockenhuber, Michaela / Ekinci, Yasin / Neisser, Mark / Freedman, Daniel A. / Brainard, Robert L. et al. | 2015
- 94250U
-
Development of EUV chemically amplified resist which has novel protecting groupMatsumaru, Shogo / Fujii, Tatsuya / Kamizono, Takashi / Suzuki, Kenta / Yamazaki, Hiroto / Arai, Masatoshi / Komuro, Yoshitaka / Kawaue, Akiya / Kawana, Daisuke / Hirayama, Taku et al. | 2015
- 94250W
-
The role of guide stripe chemistry in block copolymer directed self-assemblySeidel, Robert / Williamson, Lance / Her, YoungJun / Kim, Jihoon / Lin, Guanyang / Nealey, Paul / Gronheid, Roel et al. | 2015
- 94250X
-
Patterning sub-25nm half-pitch hexagonal arrays of contact holes with chemo-epitaxial DSA guided by ArFi pre-patternsSingh, Arjun / Chan, Boon Teik / Parnell, Doni / Wu, Hengpeng / Yin, Jian / Cao, Yi / Gronheid, Roel et al. | 2015
- 94250Y
-
DSA graphoepitaxy calibrations for contact hole multiplicationGraves, Trey / Vaglio Pret, Alessandro / Robertson, Stewart / Smith, Mark / Doise, Jan / Bekaert, Joost / Gronheid, Roel et al. | 2015
- 94250Z
-
Development and integration of systems with enhanced resolutions based on Si-containing block copolymers for line space applicationsFleury, G. / Aissou, K. / Mumtaz, M. / Chevalier, X. / Nicolet, C. / Navarro, C. / Fernandez-Regulez, M. / Pimenta-Barros, P. / Tiron, R. / Brochon, C. et al. | 2015
- 94251A
-
Coater/developer process integration of metal-oxide based photoresistClark, Benjamin L. / Kocsis, Michael / Greer, Michael / Grenville, Andrew / Saito, Takashi / Huli, Lior / Farrell, Richard / Hetzer, David / Hu, Shan / Matsumoto, Hiroie et al. | 2015
- 94251D
-
Directly patternable dielectric based on fluorinated polyimideDick, Andrew R. / Bell, William K. / Luke, Brendan / Maines, Erin / Mueller, Brennan / Kohl, Paul A. / Rawlings, Brandon / Willson, C. Grant et al. | 2015
- 94251H
-
Measurement of acid diffusion from PAG in photoresists by using TOF-SIMS with GCIBMan, Naoki / Sekiguchi, Atsushi / Matsumoto, Yoko et al. | 2015
- 94251I
-
The effect of resist material composition on development behaviorMinegishi, Shinya / Itani, Toshiro et al. | 2015
- 94251J
-
Point-of-use filtration strategy for negative tone developer in extended immersion and extreme-ultraviolet (EUV) lithographyD'Urzo, L. / Foubert, P. / Stokes, H. / Thouroude, Y. / Xia, A. / Wu, Aiwen et al. | 2015
- 94251L
-
Development of new xanthendiol derivatives applied to the negative-tone molecular resists for EB/EUVLToida, Takumi / Suzuki, Akihiro / Uchiyama, Naoya / Makinoshima, Takashi / Takasuka, Masaaki / Sato, Takashi / Echigo, Masatoshi et al. | 2015
- 94251M
-
Light-scattering thermal cross-linking material using morphology of nanoparticle free polymer blendsTakei, Satoshi et al. | 2015
- 94251N
-
Blending approaches to enhance structural order in block-copolymer's self-assembliesChevalier, X. / Nicolet, C. / Gharbi, A. / Pimenta-Barros, P. / Tiron, R. / Fleury, G. / Hadziioannou, G. / Iliopoulos, I. / Navarro, C. et al. | 2015
- 94251P
-
High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithographyTakei, Satoshi / Hanabata, Makoto / Oshima, Akihiro / Kashiwakura, Miki / Kozawa, Takahiro / Tagawa, Seiichi et al. | 2015
- 94251Q
-
Aromatizing unzipping polyester for EUV photoresistMatsuzawa, Kensuke / Mesch, Ryan / Olah, Mike / Wang, Wade / Phillips, Scott T. / Willson, C. Grant et al. | 2015
- 94251R
-
Evaluation of novel lactone derivatives for chemically amplified EUV resistsTanaka, Hiroyasu / Mizusaka, Tetsuhiko / Tanagi, Hiroyuki / Furukawa, Kikuo / Yamamoto, Hiroki / Kozawa, Takahiro et al. | 2015
- 94251S
-
Base developable negative tone molecular resist based on epoxide cross-linkingSharp, Brandon / Lawson, Richard A. / Fralick, Ashten / Narcross, Hannah / Chun, Jun Sung / Neisser, Mark / Tolbert, Laren M. / Henderson, Clifford L. et al. | 2015
- 94251T
-
Top-coatless 193nm positive-tone development immersion resist for logic applicationLiu, Lian Cong / Yeh, Tsung Ju / Lin, Yeh-Sheng / Huang, Yu Chin / Kuo, Chien Wen / Huang, Wen Liang / Lin, Chia Hung / Yu, Chun Chi / Hsu, Ray / Wan, I-Yuan et al. | 2015
- 94251V
-
Nanoimprint lithography for green water-repellent film derived from biomass with high-light transparencyTakei, Satoshi / Hanabata, Makoto et al. | 2015
- 94251X
-
Development of spin-on metal hardmask (SOMHM) for advanced nodeYamada, Shintaro / Wang, Deyan / Chuang, Vivian / Liu, Cong / Wong, Sabrina / Clark, Michael B. / Cutler, Charlotte / Williams, William / Baranowski, Paul / Li, Mingqi et al. | 2015
- 94251Y
-
A comprehensive approach for micro and multiple bridges mitigation in immersion photolithographyD'Urzo, L. / Schollaert, W. / Buch, X. / Stokes, H. / Thouroude, Y. et al. | 2015
- 94251Z
-
Thickness optimization for lithography process on silicon substrateSu, Xiaojing / Su, Yajuan / Liu, Yansong / Chen, Fong / Liu, Zhimin / Zhang, Wei / Li, Bifeng / Gao, Tao / Wei, Yayi et al. | 2015
- 942501
-
Front Matter: Volume 9425| 2015
- 942502
-
Recent progress on multipatterning: approach to pattern placement correctionYaegashi, Hidetami / Oyama, Kenichi / Hara, Arisa / Natori, Sakurako / Yamauchi, Shohei / Yamato, Masatoshi / Okabe, Noriaki / Koike, Kyohei et al. | 2015
- 942504
-
Towards 11nm half-pitch resolution for a negative-tone chemically amplified molecular resist platform for extreme-ultraviolet lithographyFrommhold, Andreas / McClelland, Alexandra / Yang, Dongxu / Palmer, Richard E. / Roth, John / Ekinci, Yasin / Rosamund, Mark C. / Robinson, Alex P. G. et al. | 2015
- 942505
-
Recent progress of negative-tone imaging with EUV exposureFujimori, Toru / Tsuchihashi, Toru / Itani, Toshiro et al. | 2015
- 942506
-
The effect of resist dissolution process on pattern formation variability: anin situanalysis using high-speed atomic force microscopySantillan, Julius Joseph / Shichiri, Motoharu / Itani, Toshiro et al. | 2015
- 942507
-
XAS photoresists electron/quantum yields study with synchrotron lightde Schepper, Peter / Vaglio Pret, Alessandro / Hansen, Terje / Giglia, Angelo / Hoshiko, Kenji / Mani, Antonio / Biafore, John J. et al. | 2015
- 942508
-
Inhomogeneity of PAGs in a hybrid-type EUV resist system studied by molecular-dynamics simulations for EUV lithographyToriumi, Minoru / Itani, Toshiro et al. | 2015
- 942509
-
Advanced patterning approaches based on negative-tone development (NTD) process for further extension of 193nm immersion lithographyShirakawa, Michihiro / Inoue, Naoki / Furutani, Hajime / Yamamoto, Kei / Goto, Akiyoshi / Fujita, Mitsuhiro et al. | 2015
- 942510
-
Analysis of the self-assembling and the defect annihilation processes in DSA using meso-scale simulationMorita, Hiroshi / Norizoe, Yuki et al. | 2015
- 942512
-
Dry development rinse (DDR) process and material for ArF/EUV extension technique toward 1Xnm hp and beyondShigaki, Shuhei / Onishi, Ryuji / Sakamoto, Rikimaru et al. | 2015
- 942513
-
Impact of pixel-dose optimization on pattern fidelity for helium ion beam lithography on EUV resistKalhor, Nima / Mulckhuyse, Wouter / Alkemade, Paul / Maas, Diederik et al. | 2015
- 942514
-
Sustainability and applicability of spacer-related patterning towards 7nm nodeOyama, Kenichi / Yamauchi, Shohei / Hara, Arisa / Natori, Sakurako / Yamato, Masatoshi / Okabe, Noriaki / Koike, Kyohei / Yaegashi, Hidetami et al. | 2015
- 942516
-
Dry development rinse process for ultimate resolution improvement via pattern collapse mitigationSayan, Safak / Tao, Zheng / Chan, B. T. / De Simone, Danilo / Kuwahara, Yuhei / Nafus, Kathleen / Leeson, Michael J. / Gstrein, Florian / Singh, Arjun / Vandenberghe, Geert et al. | 2015
- 942517
-
Block co-polymer approach for CD uniformity and placement error improvement in DSA hole grapho-epitaxy processMatsumiya, Tasuku / Kurosawa, Tsuyoshi / Yahagi, Masahito / Yamano, Hitoshi / Miyagi, Ken / Maehashi, Takaya / Suzuki, Issei / Kawaue, Akiya / Komuro, Yoshitaka / Hirayama, Taku et al. | 2015
- 942518
-
Progress in spin-on metal oxide hardmask materials for filling applicationsYao, Huirong / Dioses, Alberto D. / Mullen, Salem / Wolfer, Elizabeth / McKenzie, Douglas / Rahman, Dalil / Cho, JoonYeon / Padmanaban, Munirathna / Petermann, Claire / Her, YoungJun et al. | 2015
- 942519
-
Aqueous-based thick photoresist removal for bumping applicationsMoore, John C. / Brewer, Alex J. / Law, Alman / Pettit, Jared M. et al. | 2015
- 942520
-
Advanced shrink material for NTD process with lower Y/X shrinkage bias of elongated patternsMiyamoto, Yoshihiro / Sekito, Takashi / Sagan, John / Horiba, Yuko / Kinuta, Takafumi / Nagahara, Tatsuro / Tarutani, Shinji et al. | 2015
- 942521
-
Microbridge reduction in negative-tone imaging at photoresist point-of-use filtrationUmeda, Toru / Yamanaka, Tsukasa / Iguchi, Naoya / Tsuzuki, Shuichi et al. | 2015
- 942522
-
Novel thin film analysis to investigate actual film formationSakai, Kazunori / Mochida, Kenji / Nakamura, Shinichi / Kimura, Tooru / Yoshikawa, Kazuhiro / Man, Naoki / Seiki, Hirofumi / Takeda, Masaaki et al. | 2015
- 942524
-
Cost effective processes by using negative-tone development applicationYamamoto, Kei / Kato, Keita / Ou, Keiyu / Shirakawa, Michihiro / Kamimura, Sou et al. | 2015
- 942526
-
Evaluation of novel processing approaches to improve extreme ultraviolet (EUV) photoresist pattern qualityMontgomery, Cecilia / Chun, Jun Sung / Fan, Yu-Jen / Jen, Shih-Hui / Neisser, Mark / Cummings, Kevin D. / Montgomery, Warren / Saito, Takashi / Huli, Lior / Hetzer, David et al. | 2015