Introduction of a high throughput SPM for defect inspection and process control (Englisch)
- Neue Suche nach: Sadeghian, H.
- Neue Suche nach: Koster, N. B.
- Neue Suche nach: van den Dool, T. C.
- Neue Suche nach: Sadeghian, H.
- Neue Suche nach: Koster, N. B.
- Neue Suche nach: van den Dool, T. C.
In:
Proc. SPIE
;
8681
; 868127
;
2013
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Introduction of a high throughput SPM for defect inspection and process control
-
Beteiligte:
-
Kongress:Metrology, Inspection, and Process Control for Microlithography XXVII ; 2013 ; San Jose,California,USA
-
Erschienen in:Proc. SPIE ; 8681 ; 868127
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:10.04.2013
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 86810A
-
Measurement technology to quantify 2D pattern shape in sub-2x nm advanced lithographyFuchimoto, Daisuke / Sakai, Hideo / Shindo, Hiroyuki / Izawa, Masayuki / Sugahara, Hitoshi / Van de Kerkhove, Jeroen / De Bisschop, Peter et al. | 2013
- 86810B
-
Defect window analysis by using SEM-contour based shape quantifying method for sub-20nm node productionHibino, Daisuke / Hsu, Mingyi / Shindo, Hiroyuki / Izawa, Masayuki / Enomoto, Yuji / Lin, J. F. / Hu, J. R. et al. | 2013
- 86810C
-
A framework for exploring the interaction between design rules and overlay controlGhaida, Rani S. / Gupta, Mukul / Gupta, Puneet et al. | 2013
- 86810D
-
In-line E-beam wafer metrology and defect inspection: the end of an era for image-based critical dimensional metrology? New life for defect inspectionSolecky, Eric / Patterson, Oliver D. / Stamper, Andrew / McLellan, Erin / Buengener, Ralf / Vaid, Alok / Hartig, Carsten / Bunday, Benjamin / Arceo, Abraham / Cepler, Aron et al. | 2013
- 86810E
-
Enhancing 9 nm node dense patterned defect optical inspection using polarization, angle, and focusBarnes, Bryan M. / Goasmat, Francois / Sohn, Martin Y. / Zhou, Hui / Silver, Richard M. / Arceo, Abraham et al. | 2013
- 86810F
-
Capturing buried defects in metal interconnections with electron beam inspection systemXiao, Hong / Jiang, Ximan / Trease, David / Van Riet, Mike / Ramprasad, Shishir / Bhatia, Anadi / Lefebvre, Pierre / Bastard, David / Moreau, Olivier / Maher, Chris et al. | 2013
- 86810G
-
22 nm node wafer inspection using diffraction phase microscopy and image post-processingZhou, Renjie / Popescu, Gabriel / Goddard, Lynford L. et al. | 2013
- 86810H
-
Coherent diffractive imaging microscope with a tabletop high harmonic EUV sourceZhang, Bosheng / Seaberg, Matthew D. / Adams, Daniel E. / Gardner, Dennis F. / Murnane, Margaret M. / Kapteyn, Henry C. et al. | 2013
- 86810I
-
Fin stress and pitch measurement using X-ray diffraction reciprocal space maps and optical scatterometryDiebold, A. C. / Medikonda, M. / Muthinti, G. R. / Kamineni, V. K. / Fronheiser, J. / Wormington, M. / Peterson, B. / Race, J. et al. | 2013
- 86810J
-
Photoresist shrinkage effects in 16 nm node extreme ultraviolet (EUV) photoresist targetsBunday, Benjamin / Montgomery, Cecilia / Montgomery, Warren / Cepler, Aron et al. | 2013
- 86810K
-
Precise measurement of photoresist cross-sectional shape change caused by SEM-induced shrinkageOhashi, Takeyoshi / Sekiguchi, Tomoko / Yamaguchi, Atsuko / Tanaka, Junichi / Kawada, Hiroki et al. | 2013
- 86810L
-
Critical dimension small angle X-ray scattering measurements of FinFET and 3D memory structuresSettens, Charles / Bunday, Benjamin / Thiel, Brad / Kline, R. Joseph / Sunday, Daniel / Wang, Chengqing / Wu, Wen-li / Matyi, Richard et al. | 2013
- 86810M
-
Mueller based scatterometry measurement of nanoscale structures with anisotropic in-plane optical propertiesMuthinti, Gangadhara R. / Medikonda, Manasa / Fronheiser, Jody / Kamineni, Vimal K. / Peterson, Brennan / Race, Joseph / Diebold, Alain C. et al. | 2013
- 86810N
-
Probing limits of acoustic nanometrology using coherent extreme ultraviolet lightNardi, Damiano / Hoogeboom-Pot, Kathleen M. / Hernandez-Charpak, Jorge N. / Tripp, Marie / King, Sean W. / Anderson, Erik H. / Murnane, Margaret M. / Kapteyn, Henry C. et al. | 2013
- 86810O
-
Nanoscale modulus and surface chemistry characterization for collapse free resistsKulshreshtha, Prashant K. / Maruyama, Ken / Kiani, Sara / Ziegler, Dominik / Blackwell, James / Olynick, Deidre / Ashby, Paul D. et al. | 2013
- 86810P
-
Photoluminescence metrology for LED characterization in high volume manufacturingRaymond, Christopher J. / Li, Zhiqiang et al. | 2013
- 86810Q
-
Intercomparison between optical and x-ray scatterometry measurements of FinFET structuresLemaillet, P. / Germer, T. A. / Kline, R. Joseph / Sunday, Daniel F. / Wang, Chengqing / Wu, Wen-li et al. | 2013
- 86810R
-
28nm FD-SOI metal gate profile optimization, CD and undercut monitoring using scatterometry measurementBouyssou, R. / Le Gratiet, B. / Gouraud, P. / Desvoivres, L. / Briend, G. / Dumont, B. et al. | 2013
- 86810S
-
Evaluating scatterometry 3D capabilities for EUVLi, Jie / Kritsun, Oleg / Dasari, Prasad / Volkman, Catherine / Wallow, Tom / Hu, Jiangtao et al. | 2013
- 86810T
-
Scatterometry evaluation of focus-dose effects of EUV structuresDasari, Prasad / Kritsun, Oleg / Li, Jie / Volkman, Catherine / Hu, Jiangtao / Liu, Zhuan et al. | 2013
- 86810U
-
Direct-scatterometry-enabled optical-proximity-correction-model calibrationChen, Chih-Yu / Ng, Philip C. W. / Liu, Chun-Hung / Shen, Yu-Tian / Tsai, Kuen-Yu / Li, Jia-Han / Shieh, Jason J. / Chen, Alek C. et al. | 2013
- 86810V
-
Enhancing scatterometry CD signal-to-noise ratio for 1x logic and memory challengesShaughnessy, Derrick / Krishnan, Shankar / Wei, Lanhua / Shchegrov, Andrei V. et al. | 2013
- 86810X
-
The correlation between ArF resist dispense volume and surface tensionKuo, Tung-Chang et al. | 2013
- 86810Y
-
Enhanced photomask quality control by 2D structures monitoring using auto image-to-layout method on advanced 28nm technology node or beyondGuo, Eric / Shi, Irene / Tian, Eric / Hsiang, Chingyun / Cheng, Guojie / Ling, Li / Chen, Shijie / Chen, Ye / Zhou, Ke / Wu, Joanne et al. | 2013
- 86811A
-
DSA hole defectivity analysis using advanced optical inspection toolHarukawa, Ryota / Aoki, Masami / Cross, Andrew / Nagaswami, Venkat / Tomita, Tadatoshi / Nagahara, Seiji / Muramatsu, Makoto / Kawakami, Shinichiro / Kosugi, Hitoshi / Rathsack, Benjamen et al. | 2013
- 86811B
-
Scatterometry simulator development with GPU, real-coded GA and RCWAShirasaki, Hirokimi et al. | 2013
- 86811C
-
In-line high-K/metal gate monitoring using picosecond ultrasonicsHsu, C. W. / Huang, R. P. / Chen, J. / Tan, J. / Huang, H. F. / Lin, Welch / Hsieh, Y. L. / Tsao, W. C. / Chen, C. H. / Lin, Y. M. et al. | 2013
- 86811D
-
Advanced overlay stability control with correction per exposure on immersion scannersHan, Jinkyu / Heo, Jinseok / Hwang, Chan / Yeo, Jeongho et al. | 2013
- 86811E
-
Optical analysis on the wafer defect inspection for yield enhancementAhn, Jeongho / Lee, Byoungho / Lee, Dong-Ryul / Seong, Shijin / Kim, Hyungseop / Choi, Seongchae / Sunwoo, Heewon / Lee, Junbum / Ihm, Dongchul / Chin, Soobok et al. | 2013
- 86811F
-
Performance of ASML YieldStar μDBO overlay targets for advanced lithography nodes C028 and C014 overlay process controlBlancquaert, Yoann / Dezauzier, Christophe / Depre, Jerome / Miqyass, Mohamed / Beltman, Jan et al. | 2013
- 86811G
-
Overlay accuracy calibrationAmit, Eran / Klein, Dana / Cohen, Guy / Amir, Nuriel / Har-Zvi, Michael / Kato, Cindy / Kurita, Hiroyuki et al. | 2013
- 86811H
-
A novel focus monitoring technique using Iso-Dense overlay markLi, S.X. / Cheng, J.R. / Bourov, A. / Sun, G. et al. | 2013
- 86811I
-
Manufacturing and advanced characterization of sub-25nm diameter CD-AFM probes with sub-10nm tip edges radiusFoucher, Johann / Filippov, Pavel / Penzkofer, Christian / Irmer, Bernd / Schmidt, Sebastian W. et al. | 2013
- 86811J
-
Quality metric for accurate overlay control in <20nm nodesKlein, Dana / Amit, Eran / Cohen, Guy / Amir, Nuriel / Har-Zvi, Michael / Huang, Chin-Chou Kevin / Karur-Shanmugam, Ramkumar / Pierson, Bill / Kato, Cindy / Kurita, Hiroyuki et al. | 2013
- 86811K
-
SEM-contour shape analysis method for advanced semiconductor devicesToyoda, Yasutaka / Shindo, Hiroyuki / Ota, Yoshihiro / Matsuoka, Ryoichi / Hojo, Yutaka / Fuchimoto, Daisuke / Hibino, Daisuke / Sakai, Hideo et al. | 2013
- 86811M
-
Sensitivity improvement by a hybrid scatterometerLu, Hailiang / Wang, Fan / Duan, Lifeng / Chen, Yonghui et al. | 2013
- 86811O
-
Study of overlay in EUV/ArF mix and match lithographyHuang, Chin-Chou Kevin / Chua, Lin / Hwang, KyungBae / Mani, Antonio / Marcuccilli, Gino / Pierson, Bill / Karur-Shanmugam, Ramkumar / Robinson, John C. / Choi, Dongsub / Ferber, Michael et al. | 2013
- 86811P
-
Lithography focus/exposure control and corrections to improve CDUKim, Young Ki / Yelverton, Mark / Lee, Joungchel / Cheng, Jerry / Wei, Hong / Kim, Jeong Soo / Gutjahr, Karsten / Gao, Jie / Karur-Shanmugam, Ram / Herrera, Pedro et al. | 2013
- 86811Q
-
Inspection of high-aspect ratio layers at sub 20nm nodeVikram, Abhishek / Lin, Kuan / Camp, Janay / Kini, Sumanth / Jin, Frank / Venkatesan, Vinod et al. | 2013
- 86811R
-
Characterization of photochemical filtration membranes in organic solvents by using sub-10nm fluorescent Cd-based QDsLiu, Suwen / Zhang, Haizheng et al. | 2013
- 86811S
-
Scatterometry accuracy improvement using 3D shapesZangooie, Shahin / Myneni, Satyanarayana / Wilkens, Peter / Keller, Nicholas J. / Sarathy, Thankasala P. / Tabet, Milad et al. | 2013
- 86811T
-
Advanced gate CDU control in sub-28nm node using poly slot process by scatterometry metrologyTzai, Wei-Jhe / Chen, Howard / Lin, Jun-Jin / Huang, Yu-Hao / Yu, Chun-Chi / Lin, Ching-Hung Bert / Yoo, Sungchul / Huang, Chien-Jen Eros / Mihardja, Lanny et al. | 2013
- 86811V
-
The challenges encountered in the integration of an early test wafer surface scanning inspection system into a 450mm manufacturing lineLee, Jeffrey / McGarvey, Steve et al. | 2013
- 86812A
-
Fast phase shifting shadow moiré by utilizing multiple light sourcesDu, Hubing / Zhao, Hong / Li, Bing et al. | 2013
- 86812B
-
Measurement configuration optimization for grating reconstruction by Mueller matrix polarimetryChen, Xiuguo / Liu, Shiyuan / Zhang, Chuanwei / Jiang, Hao et al. | 2013
- 86812C
-
Application of optical CD metrology for alternative lithographyAsano, Masafumi / Kawamoto, Akiko / Matsuki, Kazuto / Godny , Stephane / Lin, Tingsheng / Wakamoto, Koichi et al. | 2013
- 86812D
-
Hybrid approach to optical CD metrology of directed self-assembly lithographyGodny, Stephane / Asano, Masafumi / Kawamoto, Akiko / Wakamoto, Koichi / Matsuki, Kazuto / Bozdog, Cornel / Sendelbach, Matthew / Turovets, Igor / Urenski, Ronen / Milo, Renan et al. | 2013
- 86812F
-
TSV reveal height and dimension metrology by the TSOM methodVartanian, Victor / Attota, Ravikiran / Park, Haesung / Orji, George / Allen, Richard A. et al. | 2013
- 86812G
-
Use of TSOM for sub-11nm node pattern defect detection and HAR featuresArceo, Abraham / Bunday, Benjamin / Attota, Ravikiran et al. | 2013
- 86812H
-
Robustness analysis of non-linear phase retrieval from single intensity measurementPolo, A. / Pereira, S. F. / Urbach, H. P. et al. | 2013
- 86812I
-
Systematic errors in the measurement of power spectral densityMack, Chris A. et al. | 2013
- 86812J
-
Towards development of a sidewall roughness standardCordes, Aaron / Bunday, Ben / Hand, Sean / Osborne, Jason / Porter, Hugh et al. | 2013
- 86812K
-
Roughness of EUV resists exposed to EUV, ArF and KrF radiation as evaluated using three tools: spectroscopic ellipsometry, AFM and SEMPark, Byong Chon / Cho, Yong Jai / Kim, Insung / Yeo, Jeongho et al. | 2013
- 86812L
-
Evaluation of methods for noise-free measurement of LER/LWR using synthesized CD-SEM imagesConstantoudis, Vassilios / Pargon, Erwine et al. | 2013
- 86812N
-
Key points to measure accurately an ultra-low LER by using CD-SEMKawada, Hiroki / Kawasaki, Takahiro / Ikegami, Toru / Hasegawa, Norio / Oyama, Kenichi / Yaegashi, Hedetami et al. | 2013
- 86812O
-
Diffraction based overlay and image based overlay on production flow for advanced technology nodeBlancquaert, Yoann / Dezauzier, Christophe et al. | 2013
- 86812P
-
Reduction of image-based ADI-to-AEI overlay inconsistency with improved algorithmChen, Yen-Liang / Lin, Shu-Hong / Chen, Kai-Hsiung / Ke, Chih-Ming / Gau, Tsai-Sheng et al. | 2013
- 86812Q
-
Fundamentals of overlay measurement and inspection using scanning electron-microscopeKato, T. / Okagawa, Y. / Inoue, O. / Arai, K. / Yamaguchi, S. et al. | 2013
- 86812R
-
DCM: device correlated metrology for overlay measurementsChen, Charlie / Huang, George K. C. / Pai, Yuan Chi / Wu, Jimmy C. H. / Cheng, Yu Wei / Hsu, Simon C. C. / Yu, Chun Chi / Amir, Nuriel / Choi, Dongsub / Itzkovich, Tal et al. | 2013
- 86812S
-
In-die overlay metrology by using CD-SEMInoue, Osamu / Kato, Takeshi / Okagawa, Yutaka / Kawada, Hiroki et al. | 2013
- 86812T
-
Stress inspection for overlay characterizationOwen, David M. et al. | 2013
- 86812V
-
Multi layer overlay measurement recent developmentsAmir, Nuriel / Shuall, Nimrod / Tarshish-Shapir, Inna / Leray, Philippe et al. | 2013
- 86812Y
-
3D AFM method for characterization of resist effect of aerial image contrast on side wall roughnessLee, Yong-ha / Cho, Sang-Joon / Park, Sang-il / Ayothi, R. / Hishiro, Y. et al. | 2013
- 86812Z
-
Three-dimensional profile extraction from CD-SEM image and top/bottom CD measurement by line-edge roughness analysisYamaguchi, Atsuko / Ohashi, Takeyoshi / Kawasaki, Takahiro / Inoue, Osamu / Kawada, Hiroki et al. | 2013
- 86813A
-
An investigation of high-order process correction models and techniques to improve overlay control by using multiple-pass cascading analysis at an advanced technology nodeUllah, Md Zakir / Jazim, Mohamed Fazly Mohamed / Tran, Stephen / Qiu, Andy / Goh, Dawn / Ang, Jesline / Goh, Desmond / Tien, David / Huang, Kevin / Choi, Dongsub et al. | 2013
- 86813B
-
Gaps analysis for CD metrology beyond the 22nm nodeBunday, Benjamin / Germer, Thomas A. / Vartanian, Victor / Cordes, Aaron / Cepler, Aron / Settens, Charles et al. | 2013
- 86813C
-
High-speed atomic force microscopy for patterned defect reviewOsborne, Jason / Hu, Shuiqing / Wang, Haiming / Hu, Yan / Shi, Jian / Hand, Sean / Su, Chanmin et al. | 2013
- 86813D
-
Metrology solutions for high performance germanium multi-gate field-effect transistors using optical scatterometryChin, Hock-Chun / Ling, Moh-Lung / Liu, Bin / Zhang, Xingui / Li, Jie / Liu, Yongdong / Hu, Jiangtao / Yeo, Yee-Chia et al. | 2013
- 86813E
-
Enhancing metrology by combining spatial variability and global inferenceSpanos, Costas J. / Baek, Jae Yeon et al. | 2013
- 86813F
-
Performance-based metrology of critical device performance parameters for in-line non-contact high-density intra-die monitor/control on a 32nm SOI advanced logic product platformPelella, Mario M. / Mocuta, Anda C. / Lee, Birk / Zamdmer, Noah / Slisher, Dustin K. / Yu, Xiaojun / Vickers, James S. / Tsuruta, Yota / Iyer, Subramanian S. / Pakdaman, Nader et al. | 2013
- 868101
-
Front Matter: Volume 8681| 2013
- 868102
-
Metrology in times of shrinking budgetsArnold, William H. et al. | 2013
- 868103
-
Implementation of hybrid metrology at HVM fab for 20nm and beyondVaid, Alok / Subramany, Lokesh / Iddawela, Givantha / Ford, Carl / Allgair, John / Agrawal, Gaurav / Taylor, John / Hartig, Carsten / Kang, Byung Cheol (Charles) / Bozdog, Cornel et al. | 2013
- 868104
-
On-product overlay enhancement using advanced litho-cluster control based on integrated metrology, ultra-small DBO targets and novel correctionsBhattacharyya, Kaustuve / Ke, Chih-Ming / Huang, Guo-Tsai / Chen, Kai-Hsiung / Smilde, Henk-Jan H. / Fuchs, Andreas / Jak, Martin / van Schijndel, Mark / Bozkurt, Murat / van der Schaar, Maurits et al. | 2013
- 868105
-
Toward 7nm target on product overlay for C028 FDSOI technologyGatefait, Maxime / Le-Gratiet, Bertrand / Goirand, Pierre Jerome / Lam, Auguste / Van Haren, Richard / Pastol, Anne / Doytcheva, Maya / Liu, Xing Lan / Beltman, Jan et al. | 2013
- 868106
-
Introduction of next-generation 3D AFM for advanced process controlFoucher, J. / Thérèse, R. / Lee, Y. / Park, S.-I. / Cho, S.-J. et al. | 2013
- 868107
-
High-volume process monitoring of FEOL 22nm FinFET structures using an automated STEMUgurlu, Ozan / Strauss, Michael / Dutrow, Gavin / Blackwood, Jeff / Routh, Brian / Senowitz, Corey / Plachinda, Paul / Alvis, Roger et al. | 2013
- 868108
-
Material contrast based inline metrology: process verification and control using back scattered electron imaging on CD-SEMHartig, Carsten / Fischer, Daniel / Schulz, Bernd / Vaid, Alok / Adan, Ofer / Levi, Shimon / Ge, Adam / Zhou, Jessica / Bar-Zvi, Maayan / Enge, Ronny et al. | 2013
- 868109
-
When things go pear shaped: contour variations of contactsUtzny, Clemens et al. | 2013
- 868110
-
High order wafer alignment for 20nm node logic processJeon, Bumhwan / Pal, Shyam / Mehta, Sohan / Lokesh, Subramany / Jiang, Yun Tao / Li, Chen / Yelverton, Mark / Wei, Yayi et al. | 2013
- 868111
-
In-die overlay metrology method using defect review SEM imagesOh, Jaehyoung / Kwon, Gwangmin / Mun, Daiyoung / Yoo, Hyungwon / Kim, Sungsu / Kim, Tae hui / Harada, Minoru / Minekawa, Yohei / Fukunaga, Fumihiko / Nozoe, Mari et al. | 2013
- 868112
-
Control of inspection for EUV substrates and mask blanksGodwin, Milton / Ranganath, Teki / Ma, Andy et al. | 2013
- 868113
-
Joint calibration of 3D resist image and CDSEMChou, C. S. / He, Y. Y. / Tang, Y. P. / Chang, Y. T. / Huang, W. C. / Liu, R. G. / Gau, T. S. et al. | 2013
- 868114
-
Line edge roughness measurement technique for fingerprint pattern in block copolymer thin filmIsawa, Miki / Sakai, Kei / Rincon Delgadillo, Paulina A. / Gronheid, Roel / Yoshida, Hiroshi et al. | 2013
- 868116
-
Increased particle inspection sensitivity by reduction of background scatter variancevan der Walle, Peter / Kumar, Pragati / Ityaksov, Dmitry / Versluis, Richard / Maas, Diederik J. / Kievit, Olaf / Janssen, Jochem / van der Donck, Jacques C. J. et al. | 2013
- 868117
-
Overlay improvement through lot-based feed-forward: applications to various 28nm node lithography operationsOrlando, B. / Gatefait, M. / De-Caunes, J. / Goirand, P.J. et al. | 2013
- 868118
-
Scatterometry-based dose and focus decorrelation: applications to 28nm contact holes patterning intrafield focus investigationsOrlando, B. / Spaziani, N. / Socquet, N. / Bouyssou, R. / Gatefait, M. / Goirand, P.J. et al. | 2013
- 868119
-
Fast simulation method for parameter reconstruction in optical metrologyBurger, Sven / Zschiedrich, Lin / Pomplun, Jan / Schmidt, Frank / Bodermann, Bernd et al. | 2013
- 868120
-
Sub-40nm high-volume manufacturing overlay uncorrectable error evaluationBaluswamy, Pary / Khurana, Ranjan / Orf, Bryan / Keller, Wolfgang et al. | 2013
- 868121
-
Application of DBM tool for detection of EUV mask defectYoo, Gyun / Kim, Jungchan / Park, Chanha / Lee, Taehyeong / Ji, Sunkeun / Yang, Hyunjo / Yim, Donggyu / Park, Byeongjun / Maruyama, Kotaro / Yamamoto, Masahiro et al. | 2013
- 868122
-
Computational defect review for actinic mask inspectionsMorgan, Paul / Rost, Daniel / Price, Daniel / Corcoran, Noel / Satake, Masaki / Hu, Peter / Peng, Danping / Yonenaga, Dean / Tolani, Vikram et al. | 2013
- 868123
-
Design-based metrology for development and manufacturing applicationsBrooker, Peter / Lee, Michael / Russel, Ezequiel Vidal / Levi, Shimon / Berthiaume, Sylvain / Stanton, William A. / Brist, Travis et al. | 2013
- 868126
-
Productivity improvement through automated operation of reticle defect inspection tools in a wafer fab environmentHolfeld, Christian / Wagner, Heiko / Tchikoulaeva, Anna / Loebeth, Steffen / Melzig, Stephan / Zhang, Yulin / Tanabe, Shinichi / Katoh, Takenori / Moriizumi, Koichi et al. | 2013
- 868127
-
Introduction of a high throughput SPM for defect inspection and process controlSadeghian, H. / Koster, N. B. / van den Dool, T. C. et al. | 2013
- 868128
-
Quantitative CD-SEM resist shrinkage study and its application for accurate CD-SEM tools' matchingLi, Wen Hui / Lin, Yi Shih / Yang, Siyuan Frank / Cai, Bo Xiu / Huang, Yi et al. | 2013
- 868129
-
Phase extraction from random phase-shifted shadow moiré fringe patterns using stereovision techniqueGu, Feifei / Du, Hubing / Zhao, Hong / Li, Bing et al. | 2013
- 868130
-
Buckling characterization of gate all around silicon nanowiresLevi, Shimon / Schwarzband, Ishai / Weinberg, Yakov / Cornell, Roger / Adan, Ofer / Cohen, Guy M. / Cen, Cheng / Gignac, Lynne et al. | 2013
- 868131
-
Characterization of a 'first measurement effect' in CD-SEM measurementCai, Boxiu / Lin, Yi-Shih / Wu, Qiang / Huang, Yi / Yang, Siyuan / Li, Wen-Hui / Hao, Michael et al. | 2013
- 868132
-
Edge determination methodology for cross-section STEM image of photoresist feature used for reference metrologyTakamasu, Kiyoshi / Okitou, Haruki / Takahashi, Satoru / Konno, Mitsuru / Inoue, Osamu / Kawada, Hiroki et al. | 2013
- 868133
-
Characterizing edge profiles of photomask structures with complementary information from SEM and AFMHäßler-Grohne, Wolfgang / Hüser, Dorothee et al. | 2013
- 868135
-
High accuracy CD matching monitor for CD-SEM beyond 20nm processUeda, K. / Mizuno, T. / Setoguchi, K. et al. | 2013
- 868136
-
In-field in-design metrology target integration for advanced CD and overlay process control via DoseMapper and high order overlay correction for 28nm and beyond logic nodeDucoté, J. / Bernard-Granger, F. / Le-Gratiet, B. / Bouyssou, R. / Bianchini, R. / Marin, J. C. / Baron, M. P. / Gardet, F. / Devoivre, T. / Batail, E. et al. | 2013
- 868137
-
CD optimization methodology for extending optical lithographyWong, C. / Seevaratnam, G. / Wiltshire, T. / Felix, N. / Brunner, T. / Rawat, P. / Escalante, M. / Kim, W. / Rottenkolber, E. / Elmalk, A. et al. | 2013
- 868138
-
Improvement of focus accuracy on processed waferHigashibata, Satomi / Komine, Nobuhiro / Fukuhara, Kazuya / Koike, Takashi / Kato, Yoshimitsu / Hashimoto, Kohji et al. | 2013
-
Capturing buried defects in metal interconnections with electron beam inspection system [8681-14]Xiao, H. / Jiang, X. / Trease, D. / Van Riet, M. / Ramprasad, S. / Bhatia, A. / Lefebvre, P. / Bastard, D. / Moreau, O. / Maher, C. et al. | 2013
-
Photoresist shrinkage effects in 16nm node extreme ultraviolet (EUV) photoresist targets [8681-18]Bunday, B. / Montgomery, C. / Montgomery, W. / Cepler, A. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
28nm FD-SOI metal gate profile optimization, CD and undercut monitoring using scatterometry measurement [8681-26]Bouyssou, R. / Le Gratiet, B. / Gouraud, P. / Desvoivres, L. / Briend, G. / Dumont, B. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Fast simulation method for parameter reconstruction in optical metrology [8681-75]Burger, S. / Zschiedrich, L. / Pomplun, J. / Schmidt, F. / Bodermann, B. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Advanced overlay stability control with correction per exposure on immersion scanners [8681-80]Han, J. / Heo, J. / Hwang, C. / Yeo, J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Scatterometry accuracy improvement using 3D shapes [8681-96]Zangooie, S. / Myneni, S. / Wilkens, P. / Keller, N.J. / Sarathy, T.P. / Tabet, M. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
When things go pear shaped: contour variations of contacts [8681-8]Utzny, C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Coherent diffractive imaging microscope with a tabletop high harmonic EUV source [8681-17]Zhang, B. / Seaberg, M.D. / Adams, D.E. / Gardner, D.F. / Murnane, M.M. / Kapteyn, H.C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Fin stress and pitch measurement using x-ray diffraction reciprocal space maps and optical scatterometry [8681-124]Diebold, A.C. / Medikonda, M. / Muthinti, G.R. / Kamineni, V.K. / Fronheiser, J. / Wormington, M. / Peterson, B. / Race, J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Mueller based scatterometry measurement of nanoscale structures with anisotropic inplane optical properties (Best Student Paper Award) [8681-21]Muthinti, G.R. / Medikonda, M. / Fronheiser, J. / Kamineni, V.K. / Peterson, B. / Race, J. / Diebold, A.C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Scatterometry evaluation of focus-dose effects of EUV structures [8681-28]Dasari, P. / Kritsun, O. / Li, J. / Volkman, C. / Hu, J. / Liu, Z. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
In-die overlay metrology method using defect review SEM images [8681-64]Oh, J. / Kwon, G. / Mun, D. / Yoo, H. / Kim, S. / Kim, T.-H. / Harada, M. / Minekawa, Y. / Fukunaga, F. / Nozoe, M. et al. | 2013
-
Line edge roughness measurement technique for fingerprint pattern in block copolymer thin film [8681-67]Isawa, M. / Sakai, K. / Delgadillo, P.A.R. / Gronheid, R. / Yoshida, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Scatterometry simulator development with GPU, real-coded GA and RCWA [8681-78]Shirasaki, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Optical analysis on the wafer defect inspection for yield enhancement [8681-81]Ahn, J. / Lee, B. / Lee, D.-R. / Seong, S. / Kim, H. / Choi, S. / Sunwoo, H. / Lee, J. / Ihm, D. / Chin, S. et al. | 2013
-
Sensitivity improvement by a hybrid scatterometer [8681-90]Lu, H. / Wang, F. / Duan, L. / Chen, Y. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
The challenges encountered in the integration of an early test wafer surface scanning inspection system into a 450mm manufacturing line [8681-99]Lee, J. / McGarvey, S. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
TSV reveal height and dimension metrology by the TSOM method [8681-32]Vartanian, V. / Attota, R. / Park, H. / Orji, G. / Allen, R.A. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Systematic errors in the measurement of power spectral density (Invited Paper) [8681-35]Mack, C.A. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Stress inspection for overlay characterization [8681-125]Owen, D.M. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
An investigation of high-order process correction models and techniques to improve overlay control by using multiple-pass cascading analysis at an advanced technology node [8681-56]Ullah, M.Z. / Jazim, M.F.M. / Tran, S. / Qiu, A. / Goh, D. / Ang, J. / Tien, D. / Huang, K. / Choi, D. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
In-field in-design metrology target integration for advanced CD and overlay process control via DoseMapper and high order overlay correction for 28nm and beyond logic node [8681-52]Ducote, J. / Bernard-Granger, F. / Le-Gratiet, B. / Bouyssou, R. / Bianchini, R. / Marin, J.C. / Baron, M.P. / Gardet, F. / Devoivre, T. / Batail, E. et al. | 2013
-
Implementation of hybrid metrology at HVM fab for 20nm and beyond (Invited Paper) [8681-2]Vaid, A. / Subramany, L. / Iddawela, G. / Ford, C. / Allgair, J. / Agrawal, G. / Taylor, J. / Hartig, C. / Kang, B.C. / Bozdog, C. et al. | 2013
-
22nm node wafer inspection using diffraction phase microscopy and image post-processing [8681-15]Zhou, R. / Popescu, G. / Goddard, L.L. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Precise measurement of photoresist cross-sectional shape change caused by SEM-induced shrinkage [8681-19]Ohashi, T. / Sekiguchi, T. / Yamaguchi, A. / Tanaka, J. / Kawada, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Photoluminescence metrology for LED characterization in high volume manufacturing [8681-24]Raymond, C.J. / Li, Z. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Intercomparison between optical and x-ray scatterometry measurements of FinFET structures [8681-25]Lemaillet, P. / Germer, T.A. / Kline, R.J. / Sunday, D.F. / Wang, C. / Wu, W.-L. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Performance of ASML YieldStar μDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control [8681-83]Blancquaert, Y. / Dezauzier, C. / Depre, J. / Miqyass, M. / Beltman, J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Characterization of photochemical filtration membranes in organic solvents by using sub-10nm fluorescent Cd-based QDs [8681-95]Liu, S. / Zhang, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Quantitative CD-SEM resist shrinkage study and its application for accurate CD-SEM tools' matching [8681-77]Li, W.H. / Lin, Y.S. / Yang, S.F. / Cai, B.X. / Huang, Y. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Roughness of EUV resists exposed to EUV, ArF and KrF radiation as evaluated using three tools: spectroscopic ellipsometry, AFM and SEM [8681-37]Park, B.C. / Cho, Y.J. / Kim, I. / Yeo, J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Characterization of a `first measurement effect' in CD-SEM measurement [8681-68]Cai, B. / Lin, Y.-S. / Wu, Q. / Huang, Y. / Yang, S. / Li, W.-H. / Hao, M. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Edge determination methodology for cross-section STEM image of photoresist feature used for reference metrology (Invited Paper) [8681-49]Takamasu, K. / Okitou, H. / Takahashi, S. / Konno, M. / Inoue, O. / Kawada, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Material contrast based inline metrology: process verification and control using back scattered electron imaging on CD-SEM (Invited Paper) [8681-7]Hartig, C. / Fischer, D. / Schulz, B. / Vaid, A. / Adan, O. / Levi, S. / Ge, A. / Zhou, J. / Bar-Zvi, M. / Enge, R. et al. | 2013
-
Evaluating scatterometry 3D capabilities for EUV [8681-27]Li, J. / Kritsun, O. / Dasari, P. / Volkman, C. / Wallow, T. / Hu, J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Sub-40nm high-volume manufacturing overlay uncorrectable error evaluation [8681-106]Baluswamy, P. / Khurana, R. / Orf, B. / Keller, W. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Application of DBM tool for detection of EUV mask defect [8681-16]Yoo, G. / Kim, J. / Park, C. / Lee, T. / Ji, S. / Yang, H. / Yim, D. / Park, B. / Maruyama, K. / Yamamoto, M. et al. | 2013
-
Productivity improvement through automated operation of reticle defect inspection tools in a wafer fab environment [8681-113]Holfeld, C. / Wagner, H. / Tchikoulaeva, A. / Loebeth, S. / Melzig, S. / Zhang, Y. / Tanabe, S. / Katoh, T. / Moriizumi, K. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Robustness analysis of non-linear phase retrieval from single intensity measurement [8681-34]Polo, A. / Pereira, S.F. / Urbach, H.P. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Evaluation of methods for noise-free measurement of LER/LWR using synthesized CD-SEM images [8681-120]Constantoudis, V. / Pargon, E. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
3D AFM method for characterization of resist effect of aerial image contrast on side wall roughness [8681-46]Lee, Y.-H. / Cho, S.-J. / Park, S.-I. / Ayothi, R. / Hishiro, Y. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
High-speed atomic force microscopy for patterned defect review [8681-100]Osborne, J. / Hu, S. / Wang, H. / Hu, Y. / Shi, J. / Hand, S. / Su, C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Direct-scatterometry-enabled optical-proximity-correction-model calibration [8681-115]Chen, C.-Y. / Ng, P.C.W. / Liu, C.-H. / Shen, Y.-T. / Tsai, K.-Y. / Li, J.-H. / Shieh, J.J. / Chen, A.C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Enhanced photomask quality control by 2D structures monitoring using auto image-to-layout method on advanced 28nm technology node or beyond [8681-60]Guo, E. / Shi, I. / Tian, E. / Hsiang, C. / Cheng, G. / Ling, L. / Chen, S. / Chen, Y. / Zhou, K. / Wu, J. et al. | 2013
-
Advanced gate CDU control in sub-28nm node using poly slot process by scatterometry metrology [8681-97]Tzai, W.-J. / Chen, H. / Lin, J.-J. / Huang, Y.-H. / Yu, C.-C. / Lin, C.-H.B. / Yoo, S. / Huang, C.-J.E. / Mihardja, L. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Improvement of focus accuracy on processed wafer [8681-54]Higashibata, S. / Komine, N. / Fukuhara, K. / Koike, T. / Kato, Y. / Hashimoto, K. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
In-line E-beam wafer metrology and defect inspection: the end of an era for image-based critical dimensional metrology? New life for defect inspection (Invited Paper) [8681-12]Solecky, E. / Patterson, O.D. / Stamper, A. / McLellan, E. / Buengener, R. / Vaid, A. / Hartig, C. / Bunday, B. / Arceo, A. / Cepler, A. et al. | 2013
-
Enhancing 9nm node dense patterned defect optical inspection using polarization, angle, and focus [8681-13]Barnes, B.M. / Goasmat, F. / Sohn, M.Y. / Zhou, H. / Silver, R.M. / Arceo, A. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Nanoscale modulus and surface chemistry characterization for collapse free resists [8681-23]Kulshreshtha, P.K. / Maruyama, K. / Kiani, S. / Ziegler, D. / Blackwell, J. / Olynick, D. / Ashby, P.D. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Joint calibration of 3D resist image and CDSEM [8681-66]Chou, C.S. / He, Y.Y. / Tang, Y.P. / Chang, Y.T. / Huang, W.C. / Liu, R.G. / Gau, T.S. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
A novel focus monitoring technique using Iso-Dense overlay mark [8681-85]Li, S.X. / Cheng, J.R. / Bourov, A. / Sun, G. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Overlay accuracy calibration [8681-84]Amit, E. / Klein, D. / Cohen, G. / Amir, N. / Har-Zvi, M. / Kato, C. / Kurita, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Measurement configuration optimization for grating reconstruction by Mueller matrix polarimetry [8681-119]Chen, X. / Liu, S. / Zhang, C. / Jiang, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Hybrid approach to optical CD metrology of directed self-assembly lithography [8681-30]Godny, S. / Asano, M. / Kawamoto, A. / Wakamoto, K. / Matsuki, K. / Bozdog, C. / Sendelbach, M. / Turovets, I. / Urenski, R. / Milo, R. et al. | 2013
-
Application of optical CD metrology for alternative lithography [8681-29]Asano, M. / Kawamoto, A. / Matsuki, K. / Godny, S. / Lin, T. / Wakamoto, K. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Diffraction based overlay and image based overlay on production flow for advanced technology node [8681-40]Blancquaert, Y. / Dezauzier, C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Fundamentals of overlay measurement and inspection using scanning electron-microscope [8681-42]Kato, T. / Okagawa, Y. / Inoue, O. / Arai, K. / Yamaguchi, S. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
In-die overlay metrology by using CD-SEM [8681-70]Inoue, O. / Kato, T. / Okagawa, Y. / Kawada, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Gaps analysis for CD metrology beyond the 22nm node (Invited Paper) [8681-57]Bunday, B. / Germer, T.A. / Vartanian, V. / Cordes, A. / Cepler, A. / Settens, C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Toward 7nm target on product overlay for C028 FDSOI technology [8681-4]Gatefait, M. / Le-Gratiet, B. / Goirand, P.J. / Lam, A. / Van Haren, R. / Pastol, A. / Doytcheva, M. / Liu, X.L. / Beltman, J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Enhancing scatterometry CD signal-to-noise ratio for 1x logic and memory challenges [8681-122]Shaughnessy, D. / Krishnan, S. / Wei, L. / Shchegrov, A.V. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Overlay improvement through lot-based feed-forward: applications to various 28nm node lithography operations [8681-73]Orlando, B. / Gatefait, M. / De-Caunes, J. / Goirand, P.J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
DSA hole defectivity analysis using advanced optical inspection tool [8681-76]Harukawa, R. / Aoki, M. / Cross, A. / Nagaswami, V. / Tomita, T. / Nagahara, S. / Muramatsu, M. / Kawakami, S. / Kosugi, H. / Rathsack, B. et al. | 2013
-
Use of TSOM for sub-11nm node pattern defect detection and HAR features [8681-33]Arceo, A. / Bunday, B. / Attota, R. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
DCM: device correlated metrology for overlay measurements [8681-43]Chen, C. / Huang, G.K.C. / Pai, Y.C. / Wu, J.C.H. / Cheng, Y.W. / Hsu, S.C.C. / Yu, C.C. / Amir, N. / Choi, D. / Itzkovich, T. et al. | 2013
-
High accuracy CD matching monitor for CD-SEM beyond 20nm process [8681-82]Ueda, K. / Mizuno, T. / Setoguchi, K. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Metrology solutions for high performance germanium multi-gate field-effect transistors using optical scatterometry [8681-107]Chin, H.-C. / Ling, M.-L. / Liu, B. / Zhang, X. / Li, J. / Liu, Y. / Hu, J. / Yeo, Y.-C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
On-product overlay enhancement using advanced litho-cluster control based on integrated metrology, ultra-small DBO targets and novel corrections [8681-3]Bhattacharyya, K. / Ke, C.-M. / Huang, G.-T. / Chen, K.-H. / Smilde, H.-J.H. / Fuchs, A. / Jak, M. / van Schijndel, M. / Bozkurt, M. / van der Schaar, M. et al. | 2013
-
Defect window analysis by using SEM-contour based shape quantifying method for sub-20nm node production [8681-10]Hibino, D. / Hsu, M. / Shindo, H. / Izawa, M. / Enomoto, Y. / Lin, J.F. / Hu, J.R. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
High order wafer alignment for 20nm node logic process [8681-63]Jeon, B. / Pal, S. / Mehta, S. / Lokesh, S. / Jiang, Y.T. / Li, C. / Yelverton, M. / Wei, Y. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Performance-based metrology of critical device performance parameters for in-line non-contact high-density intra-die monitor/control on a 32nm SOI advanced logic product platform [8681-127]Pelella, M.M. / Mocuta, A.C. / Lee, B. / Zamdmer, N. / Slisher, D.K. / Yu, X. / Vickers, J.S. / Tsuruta, Y. / Iyer, S.S. / Pakdaman, N. et al. | 2013
-
Introduction of next-generation 3D AFM for advanced process control [8681-5]Foucher, J. / Therese, R. / Lee, Y. / Park, S.-J. / Cho, S.-J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Measurement technology to quantify 2D pattern shape in sub-2x nm advanced lithography [8681-9]Fuchimoto, D. / Sakai, H. / Shindo, H. / Izawa, M. / Sugahara, H. / Van de Kerkhove, J. / De Bisschop, P. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Critical dimension small angle x-ray scattering measurements of FinFET and 3D memory structures [8681-20]Settens, C. / Bunday, B. / Thiel, B. / Kline, R.J. / Sunday, D. / Wang, C. / Wu, W.-L. / Matyi, R. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Scatterometry-based dose and focus decorrelation: applications to 28nm contact holes patterning intrafield focus investigations [8681-74]Orlando, B. / Spaziani, N. / Socquet, N. / Bouyssou, R. / Gatefait, M. / Goirand, P.J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
In-line high-K/metal gate monitoring using picosecond ultrasonics [8681-79]Hsu, C.W. / Huang, R.P. / Chen, J. / Tan, J. / Huang, H.F. / Lin, W. / Hsieh, Y.L. / Tsao, W.C. / Chen, C.H. / Lin, Y.M. et al. | 2013
-
Design-based metrology for development and manufacturing applications [8681-110]Brooker, P. / Lee, M. / Russel, E.V. / Levi, S. / Berthiaume, S. / Stanton, W.A. / Brist, T. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Introduction of a high throughput SPM for defect inspection and process control [8681-121]Sadeghian, H. / Koster, N.B. / van den Dool, T.C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Multi layer overlay measurement recent developments [8681-126]Amir, N. / Shuall, N. / Tarshish-Shapir, I. / Leray, P. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
High-volume process monitoring of FEOL 22nm FinFET structures using an automated STEM [8681-6]Ugurlu, O. / Strauss, M. / Dutrow, G. / Blackwood, J. / Routh, B. / Senowitz, C. / Plachinda, P. / Alvis, R. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Control of inspection for EUV substrates and mask blanks [8681-65]Godwin, M. / Ranganath, T. / Ma, A. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Lithography focus/exposure control and corrections to improve CDU [8681-93]Kim, Y.K. / Yelverton, M. / Lee, J. / Cheng, J. / Wei, H. / Kim, J.S. / Gutjahr, K. / Gao, J. / Karur-Shanmugam, R. / Herrera, P. et al. | 2013
-
Computational defect review for actinic mask inspections [8681-109]Morgan, P. / Rost, D. / Price, D. / Corcoran, N. / Satake, M. / Hu, P. / Peng, D. / Yonenaga, D. / Tolani, V. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Enhancing metrology by combining spatial variability and global inference [8681-123]Spanos, C.J. / Baek, J.Y. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Metrology in times of shrinking budgets (Keynote Paper) [8681-1]Arnold, W.H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
A framework for exploring the interaction between design rules and overlay control [8681-11]Ghaida, R.S. / Gupta, M. / Gupta, P. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Increased particle inspection sensitivity by reduction of background scatter variance [8681-72]van der Walle, P. / Kumar, P. / Ityaksov, D. / Versluis, R. / Maas, D.J. / Kievit, O. / Janssen, J. / van der Donck, J.C.J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Study of overlay in EUV/ArF mix and match lithography [8681-92]Huang, C.-C.K. / Chua, L. / Hwang, K. / Mani, A. / Marcuccilli, G. / Pierson, B. / Karur-Shanmugam, R. / Robinson, J.C. / Choi, D. / Ferber, M. et al. | 2013
-
Fast phase shifting shadow moire by utilizing multiple light sources [8681-117]Du, H. / Zhao, H. / Li, B. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Towards development of a sidewall roughness standard [8681-36]Cordes, A. / Bunday, B. / Hand, S. / Osborne, J. / Porter, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Key points to measure accurately an ultra-low LER by using CD-SEM [8681-108]Kawada, H. / Kawasaki, T. / Ikegami, T. / Hasegawa, N. / Oyama, K. / Yaegashi, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Three-dimensional profile extraction from CD-SEM image and top/bottom CD measurement by line-edge roughness analysis [8681-47]Yamaguchi, A. / Ohashi, T. / Kawasaki, T. / Inoue, O. / Kawada, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Buckling characterization of gate all around silicon nanowires [8681-48]Levi, S. / Schwarzband, I. / Weinberg, Y. / Cornell, R. / Adan, O. / Cohen, G.M. / Cen, C. / Gignac, L. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Characterizing edge profiles of photomask structures with complementary information from SEM and AFM [8681-50]Hassler-Grohne, W. / Huser, D. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
CD optimization methodology for extending optical lithography [8681-53]Wang, C. / Seevaratnam, G. / Wiltshire, T. / Felix, N. / Brunner, T. / Rawat, P. / Escalante, M. / Kim, W. / Rottenkolber, E. / Elmalk, A. et al. | 2013
-
Probing limits of acoustic nanometrology using coherent extreme ultraviolet light [8681-22]Nardi, D. / Hoogeboom-Pot, K.M. / Hernandez-Charpak, J.N. / Tripp, M. / King, S.W. / Anderson, E.H. / Murnane, M.M. / Kapteyn, H.C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
The correlation between ArF resist dispense volume and surface tension [8681-59]Kuo, T.-C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Manufacturing and advanced characterization of sub-25nm diameter CD-AFM probes with sub-10nm tip edges radius [8681-86]Foucher, J. / Filippov, P. / Penzkofer, C. / Irmer, B. / Schmidt, S.W. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
SEM-contour shape analysis method for advanced semiconductor devices [8681-88]Toyoda, Y. / Shindo, H. / Ota, Y. / Matsuoka, R. / Hojo, Y. / Fuchimoto, D. / Hibino, D. / Sakai, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Inspection of high aspect ratio layers at sub 20nm node [8681-94]Vikram, A. / Lin, K. / Camp, J. / Kini, S. / Jin, F. / Venkatesan, V. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Phase extraction from random phase-shifted shadow moire fringe patterns using stereovision technique [8681-114]Gu, F. / Du, H. / Zhao, H. / Li, B. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Reduction of image-based ADI-to-AEI overlay inconsistency with improved algorithm [8681-41]Chen, Y.-L. / Lin, S.-H. / Chen, K.-H. / Ke, C.-M. / Gau, T.-S. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013